提示:我也是一个学习者,错误,不当出请指正,咱们以一位全加器设计实验操作一下。

文章目录

  • 一、实验目的
  • 二、实验要求
  • 三、主要仪器设备
  • 四、实验原理
  • 五、实验内容
  • 六、实验结果及分析
  • 总结

一、实验目的

1.掌握Quartus II原理图输入法的编辑、编译(综合)、仿真和编程下载的操作过程。
2. 用原理图输入法设计全加器电路,并通过电路仿真和硬件验证,进一步了解全加器的功能。
3. 3.熟悉EDA实验箱的使用方法。(不做要求 试验箱相关忽略)

二、实验要求

(1)设计完成1位全加器,列些真值表并手动绘制逻辑图。
(2)利用图形输入法在 QuartusⅡ软件平台上完成 1 位全加器的设计。
(3)用EDA实验箱验证结果。

三、主要仪器设备

1.计算机一台
2. QuartusⅡ软件平台
3.EDA实验箱

四、实验原理

列出真值表A、B是两个一位二进制加数的输入端。CI是来自低位来的进位输入端。S是和数输出端,Count是向高位的进位输出端。根据真值表写出电路输出与输入之间的逻辑关系表达式为利用门电路实现一位全加器的设计。

A B Cin S Count
0 0 0 0 0
0 0 1 1 0
0 1 0 1 0
0 1 1 0 1
1 0 1 0 1
1 1 0 0 1
1 1 1 1 1

五、实验内容

(1)创建 1 位全加器工程项目

选择芯片

(2)创建 1 位全加器图形输入文件

(3)画出1位全加器
双击点位图,输入文件名称,选择元器件,注意引脚个数(or2)

(4)添加引脚

没有用到的引脚设为三态输入,减少噪声干扰

(5)运行测试

进行功能和时序仿真通过时序仿真波形判断延迟时间和“竞争冒险”现象是否满足预期要求,如不满足则需对设计输入 文件进行修改直至满足为止。
补:
(信能懂)

注:(这里信号就是一个高低电平不用如此复杂)

功能仿真

时序仿真

六、实验结果及分析

总结

结果看图说话
①用Quartus II软件的原理图输入法进行数字电路设计的方法及步骤。 1、 建立工程项目 2、 编辑设计文件; 3、 时序仿真; 4、 引脚锁定; 5、 编译下载 等②总结:通过本次一位全加器的设计实验,进一步了解一位全加器的功能,从仿真波形可以看出,本设计符合1位全加器的要求,由于是时序仿真,我们可以看到输出总有些许延迟,而且能看到输入改变的时候,输出会产生毛刺。  通过本次实验,初步学习了Quartus II 软件的使用,巩固了理论知识。

Quartus II软件 基本使用(一)仿真一位全加器相关推荐

  1. 基于Quartus II软件FPGA与PC之间的串行通信系统开发(9000+字)

    1.简介与仿真结论 随着集成电路技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域.电子设计自动化是一种实现电子系统或电子产品自动化设计的技术,它与 ...

  2. Quartus ii 软件仿真基本流程(使用VHDL)

    文章首发于我的个人博客 这是VHDL系列教程的第一个教程.所谓教程,其实也就是记录我本人在学习过程中遇到的问题和学习内容的笔记,分享在这里供其他初学者参考,如果博客中出现任何错误或不严谨的地方,您可以 ...

  3. 基于Quartus II 软件(VHDL)设计

    目录 一,基于 Quartus II 的数字系统设计流程 二,Quartus II 软件使用介绍 1. 建立工程 2. 设计输入 3. 编译 4. 时序仿真 quartus ii 安装请参考: Qua ...

  4. 【EDA实验一】Quartus II 软件和 DE2-115 开发板 使用入门实验

    Quartus II 软件和 DE2-115 开发板 使用入门实验 文章目录 一.实验目的 二.实验任务及要求 三.实验原理与步骤 四.实验结果与分析 一.实验目的 熟悉 Quartus II 开发环 ...

  5. Quartus ii 软件的使用

    一.开发工程 1.新建工程 选择一个路径作为工程存放位置,然后在工程文件夹创建4个子文件夹,分别命名为: doc.par.rtl和sim. doc文件夹用于存放项目相关的文档, par文件夹用于存放Q ...

  6. 基于Quartus II+ModelSim SE的后仿真(Verilog版)

    基于Quartus II+ModelSim SE的后仿真(Verilog版) 一.Quartus 中的相关设置 在Quartus中建立名为counter的工程,设置仿真工具为ModelSim(Veri ...

  7. 基于Quartus II软件的FPGA综合实验——多功能数字钟

    有很多自制元器件,内部电路附在文章中 文章目录 前言 一.设计要求 二.设计原理 三.设计过程 1.数码管扫描模块 2.计时模块 3.闹钟模块 4.闹钟响铃模块 5.数码管显示模块 6.整点报时功能 ...

  8. Quartus II 软件编写程序及烧录步骤

    Quartus II 软件编写程序及烧录步骤 最近刚开始接触这个软件,这次详细记录了部分使用步骤,当做备忘录.

  9. FPGA新起点V1开发板(二)——Quartus II软件的安装和USB-BLaster驱动安装

    文章目录 一.Quartus II软件的安装 二.USB-BLaster驱动安装 一.Quartus II软件的安装 当然,这种东西我要是再写一遍就很无聊了,这里给出方法和连接 视频:Quartus ...

  10. Quartus II软件添加设备

    文章目录 前言 一.前期准备 二.进入网站并下载对应的.qdz文件 1.先进入Intel主页并登录账号 2.找到下载地址 Quartus软件中添加设备 前言 最近为了调试Cyclone V系列的一个F ...

最新文章

  1. mysql 单标递归_MySQL递归CTE(公共表表达式)
  2. Windows 2003 服务器播放FLV的问题解决
  3. c++ 读取一行的2个数
  4. linux ping不允许的操作,linux – ping:sendmsg:不允许操作(有时)
  5. [pytorch、学习] - 4.2 模型参数的访问、初始化和共享
  6. C#怎么测试静态方法?我给出了2种方案
  7. 计算机卡在无法显示网页,我的电脑上网上银行一直“无法显示网页”
  8. java 同步原语_你所不知道的有关Java 和Scala中的同步问题
  9. 百度语音识别技术突破 巨头崛起
  10. 通过RDC访问内网电脑时提示“出现身份验证错误。要求的函数不受支持 这可能是由于CredSSP加密”...
  11. hosts文件位置在哪
  12. 原生JS音乐歌词播放页面
  13. Linux如何使用mail命令给outlook邮箱发送邮件
  14. 获取中国票房网的五年间年度票房的数据信息(初学者完整代码)
  15. reset.css normalize.css,normalize与css reset的区别
  16. 使用阿里云数据库RDS不得不知的注意事项
  17. 非常漂亮的放焰火效果的Applet程序
  18. Web测试所涉及的主要测试点
  19. 貓空纜車 現代與自然的旅遊結合
  20. Plant simulation16.0汽车总装车间仿真第二步:仿真模型搭建Part01——滑橇线物流输送

热门文章

  1. Windows下PHP各版本运行库vc9-vc14下载集合
  2. 前端必会的Vue项目请求方法模块化的高度封装操作案例说明——getData()方法封装实例,告别多次重复调用,解决页面代码冗余
  3. alisql安装教程
  4. win10+ubuntu双系统引导修复
  5. PS批量处理图片之批量转换dds格式
  6. linux系统添加任务栏蓝牙图标,深度系统中(deepin os)如何使用蓝牙适配器
  7. kafaka,activityMQ,rabbitMQ消息中间件对比
  8. Matlab语言(一)
  9. pip下载包的默认路径
  10. 【Opencv】基于色差的简单目标提取