目的:运用axi协议从MIG接口调用DDR

AXI接口的MIG测试【MIZ7035】

目录

新建Vivado工程

AXI接口的MIG IP

PS

DDR配置

Block Design

SDK


  1. 新建Vivado工程

新建工程,点击Next

选型xc7z035ffg676-2

点击Next,Finish

新建BD,点击OK

  1. AXI接口的MIG IP

点击Add IP,添加MIG IP

双击MIG IP的GUI

弹出窗口Xilinx Memory Interface Generator

默认新建设计,1个控制器,AXI4接口

点击Next

选择DDR3 SDRAM

默认设置800MHz时钟,然后修改Memory Part为MT41K256M16XX-125,Data Width选择32位,其他设置默认

AXI的Data Width选择64位和PS的HP接口对应,或者32位和GP接口对应,地址线读写仲裁选择ROUND_ROBIN,其他默认

因为刚才选了800MHz和4:1,所以这里的输入时钟选择200MHz,其他默认

系统时钟和参考时钟来源于FPGA内部,这里选择No Buffer,其他默认

内部终端电阻选择50欧

开发板已经是现成的,选择Fixed Pin Out

点击Read XDC/UCF

选择开发板默认的DDR管脚分布文件

检查管脚

接下来,默认配置,一直Next;

选中Accept

最后点击Generate

  1. PS

新建PS

双击PS7的IP

先应用一个开发板的配置(Zedboard),这样配置起来比较快

配置MIO

BANK0为3.3V,BANK1为1.8V

检查各个外设是否与MIZ7035的核心板匹配

SD卡,WP信号没用,去掉

eMMC需要添加SD1接口,CD和WP信号无用,不需要添加

外设复位,ENET上电复位;USB复位在PL上,而这里只能选PS的MIO引脚,所以忽略;没有I2C,取消掉

其他默认

DDR配置

Memory Part选择MT41K256M16 RE-125

DQS to Clock Delay全部写0

Board Delay全部写0.25

  1. Block Design

点击Run Block Automation,建立PS的接口

创建Clocking Wizard

双击IP进行配置,外部GCLK的100MHz时钟,因为直接接入了时钟引脚,选择Global Buffer

因为PL DDR3的参考时钟配置的是200MHz,这里让MMCM输出200MHz,并将Reset设置为低有效

对接口进行连接

点击Run Connection Automation进行连接

时钟选择/mig_7series_0/ui_clk(200MHz)

重新进行连线

分配地址

新建IO约束文件MIZ7035_IO.xdc,内容如下

create_clock -name clk100m_i -period 10.00 [get_ports clk100m_i]

set_property VCCAUX_IO DONTCARE [get_ports clk100m_i]

set_property IOSTANDARD SSTL15 [get_ports clk100m_i]

set_property PACKAGE_PIN C8 [get_ports clk100m_i]

set_property PACKAGE_PIN H7 [get_ports rst_key]

set_property IOSTANDARD SSTL15 [get_ports rst_key]

set_property PACKAGE_PIN K10 [get_ports init_calib_complete]

set_property IOSTANDARD SSTL15 [get_ports init_calib_complete]

右键点击BD,Create HDL Wrapper,Generate Output Products

点击Generate Bitstream完成综合,生成bit文件

  1. SDK

导出Hardware

启动SDK

启动界面

创建Application工程

点击Next

选择Memory Test

编译工程

烧写FPGA

运行Application

连接串口终端,打印如下信息

MIZ7035上的AXI接口的MIG测试相关推荐

  1. AXI接口的MIG测试【MIZ7035学习】

    1.前言 刚买了米联客的MIZ7035开发板,这几天休假也不出去,就在家拿回来测一些东西.  主要目的是学习: PL端的DDR3接口 GTX用作PCIE接口 SFP接口 HDMI接口 SD卡和eMMC ...

  2. 使用VIVADO中的MIG控制DDR3(AXI接口)四——MIG配置及DDR3读写测试

    在之前的内容里,讲述了AXI和DDR3的基本知识,也做了一个用AXI IP核读写BRAM的测试实验.接下来,我们就将这些部分结合在一起,做一个用AXI IP核对DDR3进行读写测试的实验.因为DDR3 ...

  3. 使用VIVADO中的MIG控制DDR3(AXI接口)三——DDR3简介

    在读写DDR3之前,需要了解DDR3的相关知识,而如果一开始就直接看DDR3的话,我们极有可能会感觉到一头雾水,不知道从哪下手,接下来,我们便从SDRAM一步步到DDR3,分步去学习相关的知识. 1 ...

  4. Postman测试上传/下载接口

    Postman测试上传/下载接口 1.Postman测试上传接口 2.Postman测试下载接口

  5. AXI 基础第 2 讲 - 使用 AXI Verification IP (AXI VIP) 对 AXI 接口进行仿真

    注:本文转自赛灵思中文社区论坛,源文链接在此.本文原作者为XILINX工程师. 以下为个人译文,仅供参考,如有疏漏之处,还请不吝赐教. 本篇 AXI 基础系列博文将介绍可用于对 AXI 接口进行仿真的 ...

  6. springboot怎么写上传头像接口?

    最近项目中员工遇到一个问题,我把思路这里写了一下,方便以后参考: 问题描述:我想写一个关于个人头像上传的接口,在springboot里面写,我如何去写,思路是什么? 思路:1. 头像上传肯定等价于ja ...

  7. axi dma 寄存器配置_FPGA Xilinx Zynq 系列(三十二)AXI 接口

    大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分.大侠可以关注FPGA技术江湖,在"闯荡江湖"."行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢. ...

  8. Java接口多线程并发测试 (一)

    本文为作者原创,禁止转载,违者必究法律责任!!! 本文为作者原创,禁止转载,违者必究法律责任!!! Java接口多线程并发测试 一,首先写一个接口post 请求代码: import org.apach ...

  9. 使用COSBench工具对ceph s3接口进行压力测试--续

    之前写的使用COSBench工具对ceph s3接口进行压力测试是入门,在实际使用是,配置内容各不一样,下面列出 压力脚本是xml格式的,套用UserGuide文档说明,如下 有很多模板的例子,在co ...

最新文章

  1. smartgit 授权文件 Free Trial License to Non-Commercial
  2. Apex Integration Overview
  3. 解决IE6,IE7下子元素使用position:relative、父元素使用overflow:auto后,子元素不随着滚动条滚动的问题...
  4. python 高斯烟羽模型_GPR(高斯过程回归)详细推导
  5. 【Linux】一步一步学Linux——arp命令(163)
  6. MYSQL和JAVA(课堂笔记)
  7. 程序员才能看懂,看到第18张终于忍不住笑喷了。
  8. Struts2——namespace、action、以及path问题
  9. StrokePlus常用脚本
  10. facebook 广告目标详解
  11. c# 不同窗体之间传值和调用
  12. 一文带你了解华为私有云
  13. Node.js常用console中的几种方法
  14. PHY卡 网卡区别联系
  15. CCF-CSP-2015年9月-题解
  16. 会员积分兑换系统的基础运营
  17. 《心经》经典段落及释义
  18. 自我介绍自己对未来的期许
  19. 怎么将html发布到天猫,天猫商家商品发布到淘小铺后台流程
  20. 基于链表的机票订购系统(C/C++)

热门文章

  1. 中国集成电路产业投资建议与十四五需求规模分析报告2022版
  2. linux用户密码文件为,Linux用户和密码文件格式详解
  3. DQN(Deep Q Network)及其代码实现
  4. android手势控制动画,轻松实现Android,iOS的一个手势动画效果
  5. 英语语法最终珍藏版笔记-10动名词
  6. 广度优先爬虫python_python广度优先
  7. 用python随机获取中文名字
  8. React报错:Warning: ReactDOM.render is no longer supported in React 18. Use createRoot instead. Until y
  9. ue4 改变枢轴位置_UE4虚幻引擎学习云笔记(五)-静态网格体编辑器
  10. 南红镶嵌的方法有哪些