目录

  • 前言
  • 说明
  • 代码段
  • 总结

前言

本人只是初学,代码经过实验验证,仅供参考
我自己查找模仿编写运行的代码,如有侵权,联系删除。

说明

这是译码器,其作用是将四位 BCD 码转换成七段数码管的段码,显示 1、
2、3…数字。

代码段

module yima_125 (A,B,LED7S);input[3:0] A;    output B;   output[6:0] LED7S;reg[6:0] LED7S;assign B=1'b0;   always @(A)case(A)4'b0000 : LED7S <= 7'b1000000; //控制LED小灯的七个管4'b0001 : LED7S <= 7'b1111001;   //我的顺序是gfedcba4'b0010 : LED7S <= 7'b0100100;  //顺序是因为我设置的【6:0】4'b0011 : LED7S <= 7'b0110000; 4'b0100 : LED7S <= 7'b0011001; 4'b0101 : LED7S <= 7'b0010010; 4'b0110 : LED7S <= 7'b0000010; 4'b0111 : LED7S <= 7'b1111000; 4'b1000 : LED7S <= 7'b0000000; 4'b1001 : LED7S <= 7'b0010000;   //可以继续加数字,这是0-9endcase
endmodule

总结

简单的译码器,书上的例题,只是我多设置了一个输出B

【Verilog七段译码器】相关推荐

  1. 基于Verilog HDL与虚拟实验平台的【计算机组成】与CPU实验第四章:七段译码器

    1单选(2分) 2-4译码器有____位输入,位输出,在输出的所有位中,只有其中1位有效,通常在计算机里用作, 有一些译码器设有一个和多个使能控制输入端,又成为片选端,用来控制允许译码或禁止译码. A ...

  2. verilog编码器及七段译码器设计及仿真

    题目要求:设计一个10输入编码器和一个七段译码器,要求使输入值在译码器显示 所需仪器: 步骤 代码 实验图 所需仪器: 软件:modelsim.quartus. 硬件:实验箱. 步骤 在modelsi ...

  3. Verilog学习笔记——04——补码转换器、七段译码器

    补码转换器示意图 代码: //2023-5-17 //补码转换 module comp_conv( a, out_1 );input[7:0] a; output[7:0] out_1; reg[7: ...

  4. 《计算机组成与CPU设计实验》实验2 七段译码器实验

    实验目的 (1)掌握七段译码器的HDL描述; (2)掌握HDL模块层次化设计方法. 译码器和编码 译码器 n位输入,对应位输出,每组输入组合,仅对应一个一个输出 地址译码 计算机中译码器常用于:地址译 ...

  5. 远程FPGA虚拟实验平台用SystemVerilog HDL实现七段译码器

    在远程FPGA虚拟实验平台用SystemVerilog HDL实现七段译码器 源代码 VirtualBoard模块 SevenSegDecode模块 测试/保存/提交 源代码 在远程FPGA虚拟实验平 ...

  6. 计算机组成原理中译码器,计算机组成原理课程设计-指令系统及七段译码器设计.doc...

    东北大学秦皇岛分校 计算机组成原理课程设计 专业名称班级学号学生姓名指导教师设计时间 课程设计任务书 专业:: 学生姓名: 设计题目:指令系统及七段译码器设计 一.设计实验条件 硬件:PC机 ::VH ...

  7. 在远程FPGA虚拟实验平台上验证七段译码器

    在远程FPGA虚拟实验平台上验证七段译码器 VirtualBoard模块代码 SevenSegDecode模块代码 在远程FPGA实验平台验证七段译码器 第一步:申请实验板 第二步:加载 FPGA 电 ...

  8. 1.6节 K图应用:七段译码器

    那么有了上面的卡诺图,我们来看看应用,同时把卡诺图的如何圈1的方法用两节的时间让大家掌握并能够灵活运用. 1.准备知识: a.7段数码管的结构这张图上面是×××,下面是数码管. b.首先说数码管,就是 ...

  9. 江西省电子专题大赛考点讲解十一:CD4511 BCD码-七段译码器

    [芯片引脚图] [芯片功能概述] CD4511 是一片 CMOS BCD-锁存/7 段译码/驱动器其中a b c d 为 BCD 码输入,a为最低位. LT为灯测试端,加高电平时,显示器正常显示,加低 ...

最新文章

  1. [CTSC2018]混合果汁 二分 套 主席树上二分
  2. poj1018 Communication System (有道翻译完全拯救不了)
  3. lucene.NET详细使用与优化详解
  4. Python中的append()和extend()
  5. java 如何让循环结束,跳过某个条件,跳出当前的多重嵌套循环
  6. 【转】使用Azure Rest API获得Access Token介绍
  7. php mysql_fetch_field_PHP mysqli_fetch_field() 函数
  8. java课设电子门禁_中控门禁pullSdk Java demo 中控智慧门禁系统 - 下载 - 搜珍网
  9. Hyper-V 2016 系列教程56 SCVMM 2016 Client的安装
  10. Unsatisfied forward or external declaration 错误分析
  11. 航空三字代码表_航空公司二字及三字代码表
  12. c语言数字语音播报,金额数字语音播报
  13. 文件删不掉需要管理员权限?分享解决方法
  14. 【C】C语言判断文件后缀名
  15. fluent6.3如何制作动画
  16. html中半圆怎么写,css怎么写半圆?
  17. 英雄联盟手游拳头账号注册
  18. Unity Shader - Smoothness 平滑度
  19. Online Multiple Object Tracking with Cross-Task Synerg
  20. MYSQL使用MHA实现主从复制高可用、Galera Cluster介绍、压力测试、my.cnf配置参考

热门文章

  1. Python地学分析 — GDAL对遥感影像重投影
  2. 美国秘密命令谷歌、微软和雅虎交出搜索指定关键词的人员信息
  3. html+css 毛玻璃效果
  4. 徐无忌MySQL笔记:案例实战:如何实现MySQL数据库的读写分离?
  5. 0907 关于杯子的检测
  6. java基础语法-day31回顾集合类、I/O流
  7. Model-Agnostic Meta-Learning (MAML)模型介绍及算法详解
  8. 手把手教你学51单片机-定时器与数码管
  9. 疫情反弹,传统企业如何做好线上营销获客?
  10. 2017 年 11 个移动 App 开发趋势