最近做了一个关于信号发生器的设计,硬件方面发现有一些坑,所以写下来总结一下。

我们的方案是单片机控制DDS模块产生正弦波,用的单片机是MSP430,DDS是淘宝买的现成模块,模块电路图如下:

二者通过跳线连接引脚。编成之后用单片机的按键控制信号频率变化,频率可以从100khz到40mhz,发生器还具有扫频功能和频率步进功能,幅度上完成了负载50欧时20mv到100mv可调。

这个设计关键是幅度控制,项目要求是负载50欧时,电路可以幅度设置,幅度步进值要小于5mv,而且输出电压峰峰值可达5V以上。一开始完全没接触过这么大的带宽还要实现这样大的可调增益,于是开始关注这一可调增益宽带放大芯片。从TI和ADI官网查询这类产品,TI上vca8开头的芯片可以满足带宽(还有LMH型的芯片也可以,但是没用过)。

但是810带宽才35mhz,其实是不够的,当时我们选用的就是vca810,30mhz到40mhz这一段的放大做的特别难受,波形失真。而且当时时间紧迫,没有用程序去做控制,幅度也就没能精确步进,是简单的用了一个滑动变阻器来控制vca810的3脚,由于电阻串联分压,可以近似产生-2到0v的电压输入3脚,电路图如下:

其实后来总结不应该这样草草应付,应该用vca821之类的芯片,带宽才够,Vca821的话理论上可以40mhz时不失真的。后级还要接一款放大器才可以上5v,或者说前级先放大后级再加可调增益模块。这里涉及到模块之间连接的阻抗匹配问题,需要注意一下。例如信号源连放大器,前级连后级,后一级的输入阻抗大于前一级的输出阻抗5-10倍以上,可以认为阻抗匹配良好。我们的放大器用的是0pa695,实际上带宽有点吃力。

现在总结来看,推理来出一份完整的方案应该是:单片机控制DDS模块产生正弦波,然后用vca821可调增益模块、DA有效值检测模块和opa847放大模块、滤波器模块来做这个题目,这样子的话成本和时间消耗挺高的。总的来说,这一次课设我们做得不够成功,写出来分享教训给大家。

正弦信号发生器设计制作经验教训相关推荐

  1. 正弦信号发生器设计——VHDL

    一.实验目的 (1)学习并掌握Quartus II的使用方法 (2)学习简单时序电路的设计和硬件测试. (3)学习使用VHDL 语言方法进行逻辑设计输入 (4)进一步熟悉QuartusⅡ及其 LPM_ ...

  2. 线上幽灵:世界头号黑客_开放世界设计的经验教训:幽灵行动的故事

    线上幽灵:世界头号黑客 游戏设计案例研究 (GAME DESIGN CASE STUDY) Video games convey the exquisite sense of escapism lik ...

  3. wish中国_如何设计成功的电子商务应用程序:从Wish中学到的UX经验教训

    wish中国 by Dmytro Brovkin 由Dmytro Brovkin 如何设计成功的电子商务应用程序:从Wish中学到的UX经验教训 (How to design a successful ...

  4. 下拉多选择框 实现方式_物体检测之旅(三)|设计选择,经验教训和物体检测的趋势...

    作者:Jonathan Hui编译:ronghuaiyang 物体检测器,像基于区域的检测或者一阶段的检测器,从不同的起点起步,最后越来越相似,都是朝着更快更准的目的地在前进.事实上,有些性能的差距可 ...

  5. 工作经验教训_在设计工作五年后获得的经验教训

    工作经验教训 This June it has been five years since I graduated from college. Since then I've been working ...

  6. 自然用户界面设计:NUI的经验教训与设计原则

    <自然用户界面设计:NUI的经验教训与设计原则> 基本信息 原书名:Brave NUI World:Designing Natural User Interfaces for Touch ...

  7. FPGA设计——正弦信号发生器

    概述 FPGA具有高度实时的特性.这里讨论基于FPGA设计一款简单的正弦信号发生器. DDS原理 Direct Digital frequency Synthesis如下图所示: 3. DAC原理 这 ...

  8. 正弦信号发生器的设计

    目 录 1 引言 1 2 总体结构设计 2 2.1 单片机概述 2 2.1.1 单片机的发展 2 2.1.2 单片机的用途 3 2.2 系统设计的功能 3 2.3 波形发生和输出频率的方法 4 2.3 ...

  9. matlab生成正弦波平fpga,FPGA设计——正弦信号发生器

    概述 FPGA具有高度实时的特性.这里讨论基于FPGA设计一款简单的正弦信号发生器. DDS原理 Direct Digital frequency Synthesis如下图所示: 3. DAC原理 这 ...

最新文章

  1. android进度指示器_等待的痛苦—浏览进度指示器地狱的7个级别
  2. 基于音乐的脑机接口——采访Stefan Ehrlich 和 Kat Agres(上)
  3. iOS - 内购_类型
  4. [转]什么是CMMI?
  5. c++ 自定义比较函数,运行时发生segmentation fault
  6. 如何在Windows上使用64位Web浏览器
  7. JS~~~ 前端开发一些常用技巧 模块化结构 命名空间处理 奇技淫巧!!!!!!...
  8. python全栈工程师能接到私活么_Python全栈工程师(包、模块 的导入)
  9. 透视宝移动端对Unity手机游戏引擎监控实现
  10. 年底了,到底要不要跳槽?
  11. 如何让遮罩层悬浮在object标签中
  12. 郑志勇:谁是中国最牛指数基金供应商(规模统计与产品点评)
  13. 常见8种无线通信协议简介
  14. 示波器探头对测量可能引起的10种影响
  15. 如何用计算机画函数图像,用Excel绘制函数图像的技巧
  16. python如何与access配合使用_使用Python对Access读写操作方法详解
  17. Tengine + BabaSSL ,让国密更易用!
  18. 【分享】 [教学]破解完全入门篇
  19. MySQL 查看当前数据库
  20. L1正则化、L2正则化的多角度分析和概率角度的解释

热门文章

  1. 收集的C#Directx资料
  2. 视频语音如何翻译成文字?
  3. ibatis之——sqlMapConfig.xml配置文件详解
  4. [ctfhub]刷题记录
  5. The Biggest Water Problem—每日算法档
  6. 传奇服务器需要满足什么条件
  7. 《弃子长安》第九章 大漠金钩
  8. python当当网爬虫
  9. python学习 day5 (3月6日)
  10. linux sendmail