目录

  • 前言
  • 绘制道路
  • 背景图加载
  • 交通组成及车流加载
  • 写在最后

前言

这学期的计算机辅助课程,开始是学的Vissim仿真,后半段是学的TC。因为交通规划课是这学期同时上的,TC需要一定知识基础,等规划学的差不多了再开始。Vissim相对来说比较容易上手,让车子跑起来甚至不需要什么专业背景。
这一系列文章就是利用所学到的,从零开始,做一次完整的仿真,顺带回顾复习下所学。

绘制道路

我们先来看看软件界面吧,我用的是4.3版本的。

打开软件后vissim自动创建了一个文件,可以直接开始画路,画路主要用到的是绿色框里的工具,鼠标悬停在上面会有英文解释 ,应该还是相对好理解的。比如默认工具就是绿色框里最上面的那个Links&Connectors,点击它后就可以在中间大片的灰色块里画了。

Vissim画的方式有点特殊,是要按住鼠标右键进行拖拽,同时下面的状态栏会显示长度,可以帮助我们判断此刻的长度。

当然如果发现不是自己想要的长度也没关系,鼠标右键松开后会有对话框,里面有非常多的道路属性可以去设置。

我比较常用的一些属性就是道路的宽度、车道数、生成的对向车道数以及路的纵坡,都可以在这里边设置。修改的话鼠标左键双击道路也能跳出对话框。
然后界面图里蓝色框中的是一些查看工具,在2D下,一般就最上面的显示整个路网用的多。移动画面可以按住鼠标滚轮进行拖,像CAD那样。快捷键Ctrl D可以进入3D模式,这个时候,手掌下面的Rotate工具就比较有用了,可以旋转3D视角。

连接两条路段的话也是右键按住起点进行拖拽,拖到目标车道后会有响应,松开后弹出对话框。

这里注意的是vissim里面车道是从前进方向右侧开始计数的,也就是lane1是指的最右侧车道。

背景图加载

一般我们做仿真是需要目的地的真实平面图,将它作为底图,设置好比例尺后,沿着图片里道路画就行。
利用下图所示的按钮可以加载背景图。


4.3版本支持的底图格式也蛮多,选择我们需要的底图加载即可。

要想找到清晰好看的底图还是比较困难的,最好就用Cad画或者用想办法下载到卫星图。

交通组成及车流加载

道路的出行方式多种多样(小汽撤、公交、货车等),不同类型的车辆交通特性不一,所以需要根据实际调查到的数据,设置车辆的交通组成。


点击new,可以新建一个自己的,也可edit编辑软件默认的。
除了交通组成我们可以自定义,每一种类型的车辆,它的期望速度我们也可以自定义,如下图所示。

设置好车辆组成后,我们可以根据车流量数据加载车流了。点击如下所示工具,进入车流输入模式。在车流的产生道路双击,就可以进入数据编辑界面。

红框上面的数据表示小时流量(veh/h),下面的数据表示交通组成。

vissim4.3菜单栏最右边有一个问号,点击它下面的Help可以查看到离线文档,可以时不时看一下。

写在最后

掌握以上这些内容,根据自己的底图画好路,将文件保存后,点击界面里的蓝色三角形按钮,仿真就开始了。
实操的内容我放在下一篇吧,在实际绘制路网中也有不少小细节。

【Vissim】做一次完整的交通仿真——1.基本认识相关推荐

  1. vissim交通仿真实验(2)--十字信号交叉口

    1.导入底图并设置比例尺: 1.1 view-background-edit,选择JPG,再点击显示整个地图按钮.再打开这个对话框,在图上选择4个车道,然后将其宽度设为14米即可. 1.2保存: 保存 ...

  2. 微型计算机在工程实践中作用,交通仿真技术在交通工程实践教学中的应用

    交通仿真技术在交通工程实践教学中的应用 [摘要]本文简要叙述了交通仿真技术的优缺点,并对交通仿真技术在交通工程实践教学中的作用进行了描述.同时,以课程设计中VISSIM的应用为例,阐述了交通仿真技术在 ...

  3. 智慧交通|沪宜公路智慧车列交通仿真研究

    1 研究背景 2021年6月16日,钟志华院士团队负责的智慧车列系统在上海市嘉定区沪宜公路开通示范线.该示范线是嘉定新城新一轮建设和首期重大项目之一,定位为全球首套平均运营车速最快.单位时间运量最大的 ...

  4. 如何快速跑一个sumo的交通仿真

    最近很多网友问到,如何快速按照自己规划的区域跑仿真,看到效果.其实整个交通仿真牵涉的内容比较多.但是如果只是简单的让它跑起来,其实还是很快的.很多的内容都是在跑起来以后再修改或者做调整的.比入信号灯. ...

  5. 初识MIMO-OFDM(三):完整的MIMO-OFDM仿真

    初识MIMO-OFDM(三):完整的MIMO-OFDM仿真 零.缘起 MIMO-OFDM的仿真是一个大的不能再大的话题了,写得好的仿真平台是可以赚大钱的,我后续也会更新一个著名仿真平台的学习博客,不过 ...

  6. 【仿真建模】第四课:AnyLogic入门基础课程 - 轨道交通仿真入门讲解

    文章目录 一.轨道库的概念和特点 二.轨道交通仿真 三.更换车头和车身样式 一.轨道库的概念和特点 二.轨道交通仿真 新建模型 搭建轨道 定义轨道上的起点和终点 拖拽出一个trainSource,设置 ...

  7. cdma matlab仿真程序,基于Matlab的CDMA通信完整系统分析及仿真.doc

    基于Matlab的CDMA通信完整系统分析及仿真 课程论文 题 目: 基于Matlab CDMA多址技术的仿真 学生姓名: 苏未然 学生学号: 1008030130 系 别: 电气信息工程学院 专 业 ...

  8. matlab中megn函数,直接序列扩频完整系统matlab仿真

    <直接序列扩频完整系统matlab仿真>由会员分享,可在线阅读,更多相关<直接序列扩频完整系统matlab仿真(9页珍藏版)>请在人人文库网上搜索. 1.直接序列扩频通信系统仿 ...

  9. 如何做一个优秀完整的自我介绍?

    自我介绍是我们在各种场合中常常需要应对的一项重要任务,无论是面试.社交活动还是新的工作环境,一个出色的自我介绍能够给人留下深刻的印象,展示自己的能力和个性.然而,许多人在自我介绍时感到困惑,不知道该从 ...

最新文章

  1. 泛海精灵 Beta计划 (草案)
  2. Two Merged Sequences
  3. fabrication的拦截器Interceptors简介
  4. php dirtoarray,PHP Ds\Stack toArray()用法及代码示例
  5. 如何让nodejs同步操作
  6. python mysql numpy_Python 之Numpy应用
  7. 固态函数不正确_固态硬盘可靠吗?
  8. Python 中 jieba 库
  9. Android实战开发-Kotlin教程(语法篇 1.1)
  10. 使用minizip压缩文件
  11. AIX对硬件在线做diag诊断
  12. 根据输入的年月日,确定这一天是星期几。
  13. 我们DevSkim、Jarvis和USG是这样子的
  14. Localhost无法加载图片是怎么回事?
  15. visionpro求旋转中心,拟合圆心操作
  16. 1.9UPC寒假个人训练第一场
  17. 第十章 IP的分片与重装
  18. 游戏开发方案—《逃离禁闭岛》
  19. Dynamics AX2012 AOT同步到VS
  20. 【申报通知】关于组织开展广东省2022年高新技术企业认定工作的通知

热门文章

  1. 50天用JavaScript完成50个web项目,我学到了什么?
  2. 云测试软件详解,云计算存储测试:FIO工具入门与实战
  3. Vue3悬浮返回主页按钮设计与实现
  4. 西门子标准报文1常用_深入学习PROFIdrive驱动控制协议(1)
  5. 2021年华中杯数学建模挑战赛B题技术问答社区重复问题识别求解全过程文档及程序
  6. 【Kubernetes快速实战】
  7. Qt图形视图框架:视图增加标尺
  8. java web 编辑器_22个所见即所得在线 Web 编辑器
  9. 酒店无线产品认证靠谱吗
  10. linux终端安装playonlinux,安装PlayOnLinux - 手把手教您如何在Linux上安装《暗黑3》_Linux新闻_Linux公社-Linux系统门户网站...