抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。本设计是以八路抢答为基本理念。考虑到依需设定限时回答的功能,利用51单片机及外围接口实现的抢答系统。

功能描述

1、采用51单片机作为控制芯片;

2、采用4位数码管作为显示模块;

3、可以通过按键对抢答倒计时/答题倒计时时长进行分别设置;

4、操作流程:当主持人按下“启动”键后,进入抢答倒计时,此时,八位选手就可以抢答,如果有选手抢答成功,进入答题倒计时模式,当主持人按下“启动”键后,进入答题倒计时。当倒计时为0秒时,此题结束,表示没有任何选手抢答成功或没有答题成功,主持人按“复位”键后从新开始。

按键说明

K1~K8:对应八位选手;

K9:启动抢答倒计时;启动答题倒计时;

K10:复位抢答倒计时;复位答题倒计时;

K11:增加抢答倒计时时长;

K12:减少抢答倒计时时长;

K13:增加答题倒计时时长;

K14:减少答题倒计时时长;

整体方案

电路设计

采用Altium Designer作为电路设计工具。Altium Designer通过把原理图设计、PCB绘制编辑、拓扑逻辑自动布线、信号完整性分析和设计输出等技术的完美融合,为设计者提供了全新的设计解决方案,使设计者可以轻松进行设计,熟练使用这一软件必将使电路设计的质量和效率大大提高。

仿真设计

采用Proteus作为仿真设计工具。Proteus是一款著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计主。

部分程序设计

void keyscan(void)    //按键函数
{if(add1==0)       //抢答加按键按下{display();    //显示函数当做去抖用,防止按键按下时黑屏if(add1==0)   //再次判断抢答加按键是否按下(其他按键功能类似){p=11;  //P=11,显示qqd_time++;    //抢答时间加if(qd_time>=99)   //最大加到99qd_time=99;}second=qd_time;        //将抢答时间赋值给计时变量dodisplay();      //扫描显示while(add1==0);}if(dec1==0)              //抢答时间减按键{display();if(dec1==0){p=11;             //显示qqd_time--;         //时间减if(qd_time<=1)     //最小减到1qd_time=1;}second=qd_time;dodisplay();while(dec1==0);}if(add2==0){display();if(add2==0){p=12;dt_time++;if(dt_time>=99)dt_time=99;}second=dt_time;dodisplay();while(add2==0);}if(dec2==0){display();if(dec2==0){p=12;dt_time--;if(dt_time<=1)dt_time=1;}second=dt_time;dodisplay();while(dec2==0);}
}

源文件获取

关注公众号【电子开发圈】,首页发送“抢答”获取;

基于单片机的八路抢答器系统设计(#0402)相关推荐

  1. 基于单片机的八路抢答器系统设计(#0512)

    抢答器是一种应用非常广泛的设备,在各种竞赛.抢答场合中,它能迅速.客观地分辨出最先获得发言权的选手,避免误判造成抢答的不公平. 功能描述 1.采用51单片机作为核心控制单元: 2.采用4位数码管作为显 ...

  2. 单片机8位抢答器实训机电报告_基于单片机89c51八路抢答器课程设计报告.doc

    基于单片机89c51八路抢答器课程设计报告 中州大学工程技术学院 单片机课程设计报告书 题目:基于单片机89c51 8路抢答器 姓 名: 阮成龙 专 业:电气自动化(对口)一班 学 号: 201425 ...

  3. 基于单片机的八路抢答器设计

    文末下载完整资料 1.1八路扫描式抢答器的概述     本文介绍的八路数显抢答器具有电路简单.成本较低.操作方便.灵敏可靠等优点,经使用效果良好, 具有较高的推广价值.无线遥控抢答器,它由8个发射器和 ...

  4. 基于单片机的八路抢答器的设计

    1.设计要求: 1.以单片机为核心,设计一个八位竞赛抢答器:同时供八名选手或八个代表队比赛,分别用八个按钮S0-S7表示. 2.设置一个系统清除和抢答控制开关S,开关由主持人控制. 3.抢答器具有锁存 ...

  5. 2022-4-26 基于单片机的四路抢答器(风吹摇铃 奔赴星海)

    基于单片机的四路抢答器 系统设计软件:Proteus8.10和keil4 .proteus8.10版本安装包可在微信公众号下载 系统源代码和仿真等资料可在文末的链接中下载 系统组成:单片机最小系统.八 ...

  6. c语言写51单片机八路抢答器,基于AT89C51单片机的八路抢答器设计方案.doc

    基于AT89C51单片机的八路抢答器设计方案 毕业设计(论文)-基于AT89C51单片机的八路抢答器设计 图书分类号:密 级: 毕业论文 基于AT89C51的八路抢答器设计OCTAL ANSWER D ...

  7. 【Proteus仿真】基于51单片机的八路抢答器

    [Proteus仿真]基于51单片机的八路抢答器 Proteus仿真 主要功能和使用介绍 采用4位数码管设计. 下排按键一一对应八位选手. 上排三个按键,从走到右依次为:开始.暂停.复位. 操作流程: ...

  8. 基于51单片机的八路抢答器附仿真代码开源

    基于51单片机的八路抢答器代码开源 单片机采用51单片机 一个共阳数码管 需要该源码的可以关注公众号:智慧小巷 回复:八路抢答器 即可!

  9. 基于51单片机的八路抢答器

    写一下寒假做的51小项目,本次是基于AT89C51的八路抢答器,课设水平难度. 具体说明:硬件分为两部分,主持人主控部分和选手使用部分.可以实现:按动开始可以开启程序或者开启答题倒计时,按动复位可以实 ...

  10. 抢答器c语言程序设计,基于单片机的智能抢答器设计与实现

    [摘要]该智能抢答器以51单片机为核心控制器件,通过串行通信,将主机与8路从机连接,实现了有效抢答信号的识别显示.犯规选手识别显示.不同时长的抢答倒计时.不同时长的答题倒计时.提示报警等功能.文章同时 ...

最新文章

  1. react+webpack项目常用的插件(plugins)
  2. 2017 Q3 ,互联网人的薪资发生了哪些变化?
  3. ipad运行python爬虫_Python爬虫之UserAgent的使用实例
  4. 设为首页及收藏本页代码 兼容IE和Firefox
  5. linux平台 一个简单的helloworld动态库的制作与使用
  6. sql 执行顺序_10个简单步骤,完全理解SQL
  7. vb6实现union数据结构_数据结构与算法——并查集(不相交集合)
  8. tomcat 禁用access.log
  9. OpenCASCADE:形状愈合之消息机制
  10. 用于.NET Core的ORM
  11. FreeBSD9.1安装Gnome2桌面
  12. 让我们探索Cycle.js和Model-View-Intent的好处
  13. 存储基础 — 文件描述符 fd 究竟是什么?
  14. 关于 Oracle 存储双活配置和实战
  15. java jdk1.8 jvm_JDK1.8 JVM调优之初识JVM(一)
  16. 从2018百度前端技术学院看代码究竟应该怎么写(2)
  17. lssvm回归 matlab,lssvm回归预测的程序运行不了 求高手修改指点
  18. Coherence装载数据的研究-PreloadRequest
  19. ubuntu使用VNC实现远程桌面
  20. [转]将H264与AAC打包Ipad可播放的TS流的总结

热门文章

  1. MATLAB偏微分方程数值解视频课程
  2. 【C++】《C++ Primer Plus》--复习题、编程练习题答案
  3. unity3d怎么让模型动起来_Unity3d笔记——利用Animator使模型动起来
  4. 【新版】掩日免杀windows Defender
  5. 模型参考自适应控制器(MRAC)系列: 2.提升瞬态性能
  6. 免费好用的划词搜题神器插件
  7. 高数习题第八章总练习题(上)
  8. Xweibo插件版20110809重要补丁及下载
  9. Scarpy 学习记录(scrapy爬取双色球,并pyinstaller打包)
  10. ssq历史50【参数化】出现排序,最近未出排序,排行图