1、安装

安装包以及安装步骤参考此文章:Modelsim 安装步骤详解_兄弟抱一下~的博客-CSDN博客。         需要注意的是,安装路径中不能存在空格,否则在仿真运行时会出现** Fatal: Internal Error - vopt returned success but vsim could not find a design to simulate!. Please contact customer support for further assistance.在破解过程中****\Modelsim\win64下的mgls.dll和mgls64.dll都需要取消只读属性(不确定)。

在运行完patch_dll.bat后会弹出LICENSE.TXT,在这里我是另存的,因为不确定到底生成在哪里,且****\Modelsim下不带后缀的LICESE不是我们所需要的。改成.TXT后缀后你会发现两者内容是不同的。

修改环境变量。开始-设置-系统-关于-高级系统设置-环境变量。名称参考上面的文章。

2、使用

【教学】modelsim独立仿真_哔哩哔哩_bilibili  具体操作步骤可以参考这个视频。此外可以下载一个Notepad++来进行verilog代码的编写。

3、实例

以上分别是verilog,.v文件和testbench,.vt文件。

代码具体内容本人也没有完全理解,有兴趣的自己分析一下吧。

(文章标签里面没有verilog是把)

Modelsim(1)相关推荐

  1. 基于FPGA的图像处理(一)--System Generator介绍

    计算机视觉系统通常需要进行大量的信息处理才能够得到所需要的信息.目前主要有CPU.GPU.ASIC.DSP.FPGA等计算平台. 常用的计算机视觉系统通过通用计算机进行视觉信息处理,但是,由于CPU的 ...

  2. 联调QuartersII + ModelSim(下载安装)

    目录 1.ModelSim安装 1.之前埋得坑: 2.如果额外装完整版的ModelSim,我还是推荐按照这个博客的步骤就好,安装文件我已经在上一篇博客一起给大家了!!! 3.存在的问题--一定要管理员 ...

  3. FPGA(一)Vivado与ModelSim

    提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 文章目录 前言 一.Vivado与ModelSim 二.安装步骤 1.Vivado的安装 2.ModelSim的安装 三.初步使用 1 ...

  4. Modelsim下时序(功能)仿真altera IP核 程序(重要)

    原文链接:http://blog.sina.com.cn/s/blog_3f8b06cc01015h2f.html 用Modelsim对Quartus II工程进行时序仿真(在此只讨论时序仿真)可分两 ...

  5. modelsim仿真系列之基于ISE的独立进行后仿真(三)

    绪论 关于modelsim进行独立仿真Xilinx的IP核,我前边博客已经详细说明,不知道的可以看去看看有基础了再往下看. 我把自己的一个时钟倍频和时序仿真工程放在这里: 例子 第一步 ISE管脚约束 ...

  6. 国产安路FPGA(二)-TD软件仿真(Modelsim)

    使用ModelSim进行国产FPGA的功能型仿真 一.设计概述 本次仅进行FPGA部分的功能仿真,ModelSim的版本为ModelSim SE-64 10.5 工程描述:有符号数据的乘法运算,使用T ...

  7. 入门数字集成电路设计系列(一)——Modelsim安装及破解过程记录

    入门数字集成电路设计系列(一) #Modelsim破解教程(记录) Modelsim是由 Mentor Graphics 公司开发的软件,它是世界最优秀的Verilog HDL语言仿真工具,是FPGA ...

  8. FPGA学习笔记(六)Modelsim单独仿真和Quartus联合仿真及signaltap使用

    系列文章目录 一.FPGA学习笔记(一)入门背景.软件及时钟约束 二.FPGA学习笔记(二)Verilog语法初步学习(语法篇1) 三.FPGA学习笔记(三) 流水灯入门FPGA设计流程 四.FPGA ...

  9. 基于MIPS的五级流水线微处理器(CPU)设计、modelsim仿真通过、verilog编写

    基于MIPS的五级流水线微处理器(CPU)设计 摘要 本设计为一个五级流水线CPU,此CPU结构为MIPS结构.流水线CPU与单周期和多周期CPU相比较,提高了指令的执行速度,改善了CPU的整体吞吐率 ...

最新文章

  1. 微软宣布.NET Native预览版
  2. jetspeed 安装及数据库配置
  3. python中文转拼音
  4. Java集合(一)、什么是Java集合?
  5. 打印出所有的水仙花数javascript_习题4-6 水仙花数 (20分)
  6. appium 切换native/ webview,findby,还有页面元素定位一直小于0的问题的解决
  7. CSS超全笔记(适合新手入门)
  8. 浅谈测试管理—兵者诡道也
  9. mysql 07001_MySQL迁移文件的小问题
  10. PHP 配置open_basedir,让各虚拟站点独立运行
  11. 印章如何去蜡_PS怎么制作蜡封印章的效果?
  12. .mpp文件在线打开网址
  13. Unity2D中逐帧动画的制作
  14. xp重启计算机的快捷键,xp电脑关机重启快捷键是什么
  15. Button控件更改背景和去掉边框
  16. python,在格式化字符串中使用半个大括号
  17. 微软混合现实设备HoloLens 2惊艳发布,售价2.4万人民币
  18. Prometheus学习系列(四十)之报警模板参考
  19. 微型计算机原理与接口技术——8086指令系统之数据传送指令
  20. 华为的鸿蒙系统是海思_华为鸿蒙系统能成为超算系统吗?华为硬件可以组成生态圈,可行!...

热门文章

  1. ubuntu安装psycopg2
  2. javascript onclick时候 对象不支持此属性或方法
  3. [sql server] sql server 2005 外围应用配置器错误 - 计算机 localhost 在网络上不存在,或无法远程配置该计算机...
  4. Maven 跨项目调用persistence.xml动态配置文件
  5. 从爆火的“哇呀挖”,思考我软件开发的人生意义何在?
  6. FastClick遇到的坑及解决办法
  7. 35、查询所有学生的课程及分数情况(重点)
  8. Linux基础—系统结构介绍(一)
  9. 什么是Adobe Premiere Pro?
  10. 使用scrollTop回到顶部时间