2022年7月更新!!!

1、组合逻辑电路的冒险现象是由于()引起的?

2、芯片制造中,工艺节点28nm,12nm,7nm的含义?

3、哈佛结构和冯诺依曼结构?

https://blog.csdn.net/mahoon411/article/details/119078925

4、华为2021数字IC笔试题:异或门最少使用几个2选1 mux?(链接可点)

5、有符号数乘加位宽计算?

答案:7、9?

6、fifo深度计算?

第一种情况:写600个数据需要600个输入cycle,在这期间一直在读数据,这段时间为1/A * 600。在这段时间内读出的数据个数,也即读cycle个数是,1/A * 600 * 0.6A = 360,因此最小深度是240。
第二种情况:考虑到可能刚好前1000个cycle的后600个cycle写数据,后1000个cycle的前600个cycle写数据,那么就是一次写1200个数据。同理可得,最小深度是1/A * 1200 - 1/A * 1200 * 0.6A = 480。

2022年4月更新!!!

1、如果在多个initial里面并行的在同一时刻对同一个变量进行赋值,当#数量相同时,就是下面的initial块起作用,否则就是#数量多的起作用。例如:左图在20时刻,b = 10;右图在20时刻,b = 20。
2、写一个N倍频的仿真模型。

3、功能覆盖率和代码覆盖率

https://zhuanlan.zhihu.com/p/240126362

4、verilog中的二等号在数据中有不确定的值时会返回x,三等号则要完全相等才返回1,否则返回0。
5、task和function
  • task可以包含task和function,function只能包含function。
  • task和function都不能在过程语句中被定义,并且不能包含always和initial等引导的过程语句。
  • task有输入输出,function没有常规意义上的输出端口。两者都可以在过程语句中被调用,后者还可以在连续赋值语句中被调用,因为它有返回值。
  • function不能消耗仿真时间;task可以消耗仿真时间;比如function不能带有#100的时延语句、不能有@(posedge clk)、不能有wait(ready)的阻塞语句。
  • 要想可综合,task和function内部必须是组合逻辑。
6、一批IC样品在测试中发现有setup或者hold时序问题,现取A,B,C,D四种样品进行测试。A降温后停止工作,则可能是(setup(40nm以下工艺)/(hold(40nm以上工艺))问题。B升温后停止工作,则可能是(hold(40nm以下工艺)/(setup(40nm以上工艺))问题。C降压后停止工作,则可能是(setup)问题。D升压后停止工作,则可能是(hold)问题。

解析:温度和电压对应到管子行为上就是对于单个管子电流的影响。这里注意温度这个选项。升温会使载流子速率降低,但是相应的也会使Vth降低。这其实对于电流大小的影响是两个反方向的,要看谁占主导因素。对于40nm以上的工艺,而升温对于Vth的影响可以忽略,因此载流子速率对于电流的影响占主导因素,因此升温导致管子电流减少,充放电时延增加。而对于40nm以下的先进工艺,升温使Vth显著降低,因此Vth占主导,升温电流增大,时延减小。

对于电压,升压电流大时延小,降压电流小时延大。

setup问题是时序关键路径总时延过大,而hold问题则是总时延过小。

7、看波形写verilog代码

对in[1]进行上升沿检测,然后用检测结果替换in[1]之后赋值给pedge。

module pedge (input  clk,input  [7:0] in,output [7:0] pedge);wire in_1_pos;
reg in_1_r1,in_1_r2;
reg [7:0]pedge_r;
always @(posedge clk ) beginin_1_r1 <= in[1];in_1_r2 <= in_1_r1;
endalways @(posedge clk ) beginpedge_r <= {in[7 -: 6],in_1_pos,in[0]};
endassign in_1_pos = in_1_r1 & !in_1_r2;assign pedge = pedge_r;endmodule //pedge

8、脉冲宽度调制器PWM


9、c语言判断大小端

10、c语言,不使用库函数,比较两个字符串是否相等
int strcmp(char *source, char *test)
{while (*source == *test){if ( (*source == '\0') && (*test == '\0') ){return 0;}source++;test++;}return -1;
}
11、建立时间和保持时间

(1)关键路径指的是组合逻辑时间延迟最大的路径,所以图1中的关键路径是acefh。
(2)由于两个Mux的enable信号是相反的,所以只可能有两条路径,分别是acg和bdf,bdf的时间延迟更大,所以它是关键路径。
(3.1)在计算建立时间时,按照组合逻辑时延更大的那条路径,也即路径bdf算:(Tck->q) + Tsetup + 1 + 4 + 2 + 6 + 2 + 1 <= Tclk + Tskew。
(3.2)在计算保持时间时,按照组合逻辑时延更小的那条路径,也即路径acg算:Thold + Tskew <= (Tck->q) + 1 + 5 + 2 + 3 + 2 + 1。
通过以上的计算,就可以得出保持时间和建立时间都没有违例。

数字IC秋招---笔试记录相关推荐

  1. 2022届FPGA/数字IC秋招笔试面试汇总帖(题目来源:FPGA探索者)

    目录 001--什么是STA静态时序分析,有什么作用? 具有代表性的STA工具: 静态时序分析STA: (1)setup time (2)hold time (3)STA 的时序路径 (4)recov ...

  2. 2021年数字IC秋招总结

    2021年数字IC秋招总结 欢迎各位想来 瑞晟微电子的将简历,带上以下信息: 姓名-学校-岗位 身份证号+邮箱 发到以下邮箱: 436090083@qq.com 我会在瑞晟微电子开始招聘的第一时间进行 ...

  3. 老学姐2021年数字IC秋招复盘

    本着学习交流的目的,写了下面的内容,希望大家都能拿到心仪的offer. 1. 秋招变春招? 从我个人经历来说是这样的,因为今年很多企业六七月份就开始提前批了,而我六七月还在做项目,等我开始的时候,发现 ...

  4. FPGA/IC 秋招笔试/面试题总结

    请根据目录浏览,觉得有用三连支持一下也是一种鼓励~. 原创不易,100页+,未经允许,请勿转载. 打印版,联系微信:Crazzy_M FPGA/IC 秋招笔试/面试题总结(目录展示) ☛内容展示点这里 ...

  5. 2020斗鱼秋招笔试记录

    斗鱼秋招-算法工程师(移动开发部) 2019/8/20 单选10-多选3–判断-简答3 单选: 1,在点集拓扑学与欧几里得空间中,凸集(convex set)是一个点集合,其中每两点之间的直线点都落在 ...

  6. [2021年秋招笔试记录]OPPO_8.29_机器学习算法A卷

    题型 选择题(机器学习) 填空题(卷积核计算:输入层(8个神经元)到隐藏层(5个)权重向量的shape:隐藏层到输出层(1个)的权重向量shape:两个向量的皮尔逊相关系数:两个向量的L1距离和L2距 ...

  7. [2021秋招笔试记录]远景智能_9.10_算法工程师

    编程题一 n阶乘的结果的末尾有几个零 eg:3!=6 output:0 5!= 120 output:1 def NumberOfZero(n):if n == 1:res = 1res = 1for ...

  8. 2020快手秋招笔试记录

    题型 选择(20)+编程4 1,梯度下降算法的正确步骤是什么? a.用随机值初始化权重和偏差 b.把输入传入网络,得到输出值 c.计算预测值和真实值之间的误差 d.对每一个产生误差的神经元,调整相应的 ...

  9. [2021秋招笔试记录]巨人网络_9.14_数据开发

    编程题一 数据流的中位数,先排序,然后取中间的数 如果是奇数,(1+n)/2 如果是偶数,n/2 (和普通的中位数定义不一样) 输入: 0.01 5.00 55.00 输出: 0.01 0.01 5. ...

最新文章

  1. 博客园外挂之一Web搜索引擎命中统计
  2. 阿里当 PM 需要做什么?程序媛的亲身经历告诉你!| 程序员有话说
  3. 从来都没有爱情发生的人生
  4. Apache服务器的WSASocket failed to open the inherited socket错误
  5. java lambda使用_Java 8 Lambda表达式的使用
  6. 【代码笔记】iOS-长条label
  7. 技术系列课|“主动降噪”到底有多厉害?
  8. LInux下du, df, top, free, pstack, su, sudo, adduser, password命令
  9. 在winform上内嵌入其它的程序
  10. Nginx+PHP-FPM优化技巧总结(转发别人的,自己留着收藏个记录用)
  11. vue solt 属性浅析
  12. 三.rocketmq-console
  13. 优盘安装红帽linux系统,RedHat Linux系统U盘安装图文教程
  14. 网易博客 android,android编译环境
  15. 基于java的智能化停车系统ER图_智能化的停车场系统设计原则及解决方案
  16. win7安装打印机 计算机,Win7如何安装网络打印机丨Win7安装网络打印机解决方法...
  17. php 时分秒选择联动,jquery.datepair日期时分秒选择器
  18. 按键控制LED-ISE操作工具
  19. 键盘上哪个键是ESCAPE键?
  20. 天人永隔,惟相思难断。

热门文章

  1. 最新短信平台推荐一览
  2. 3·15报道:“隔空盗刷”资金骗局曝出
  3. 数字字符转数字(如‘9‘-‘0‘的值为数字9)
  4. IDEA安装、配置及卸载
  5. mac抹掉磁盘重装系统未能与服务器取得联系_电脑重装系统时遇上的N个问题
  6. Python图片裁剪的两种方式——Pillow和OpenCV
  7. 张小龙2011年饭否日记
  8. python模拟行星运动_Java课程设计——模拟行星运动
  9. java技术总结_Java技术归纳总结
  10. 谷粒学院讲师模块学习