Vivado【Verilog】

  • 前言
  • 推荐
  • Vivado安装使用
    • vivado操作组合电路
      • 新建工程
      • 添加源文件
      • 为设计添加约束
      • 工程的实现
      • 调试
      • 开发板验证
    • vivado操作时序电路
      • 新建工程
      • 添加源文件
      • 为设计添加约束
      • 工程的实现
      • 连接FPGA开发板
      • 开发板演示
  • 最后

前言

以下内容源自老师教学演示视频
仅供学习交流使用

推荐

安装:官网安装即可
我的版本2022.2

Vivado安装使用

vivado操作组合电路

以四选一为例

新建工程

点击Create Project

命名文件,设置路径
不要有中文和空格

选择RTL Project
并且勾选Do not specify sources at this time
目的是为了跳过在新建工程的过程中跳过添加设计源文件,
具体的源文件在创建过程之后添加


需要选择我们设计实验的开发板的型号

选择系列:Artix-7
封装技术:csg324
选择速度等级:-1

选择型号:xc7a35tcsg324-1


确认相关信息是否一致
不一致:点击Back,返回修改
一致则:点击Finish,完成创建

显示如下:

添加源文件

添加源文件

左边导航栏:Add Sources
或者
Source窗口:空白处右键-Add Sources…


选择第二项:Add or create design sources
给工程添加一个设计文件


选择Add Files:存在设计好的电路.v文件
选择Create Files:新建文件

起名字:mux41

显示如下:单击Finish按钮

可以设置所有端口信号

此处我们在后面设置


单击Yes

可见:工程中多了mux41.v文件

双击打开,可以在右边可以看见

mux41代码


module mux41(input wire in0,input wire in1,input wire in2,input wire in3,input wire [1:0] sel,output reg out);always@(*)case(sel)2'b00: out=in0;2'b01: out=in1;2'b10: out=in2;2'b11: out=in3;default: out=1'b0;endcase
endmodule

保存 Ctrl+S

为设计添加约束

可以通过左边的导航栏中
PTL ANALYSIS
Open Elaborated Design

单击OK


如果没有一些窗口可以在Windows添加显示

这样就可以显示了


现在开始设置IO Ports

设置I/O Std

设置Package Pin

单击左上方保存
保存约束文件

命名:mux41

可以看见工程中
多了mux41.xdc


双击打开

工程的实现

生成2进制码流文件

PROGRAM AND DEBUG
Generate Bitstream

正在对工程进行综合

正在对工程进行实现

调试

可以在此处打开硬件调试器

或者在导航栏中

PROGRAM AND DEBUG
Open Hardware Manager


打开显示如下

一定要确认PC机和FPGA开发板连接,并且FPGA连接电源

点击Open target


点击 Auto Connect

Program device


开发板验证

vivado操作时序电路

以D触发器为例

新建工程

dff

xc7a35tcsg324-1

显示

添加源文件

Add Files

如下三个文件

Add Files

dff_top

module dff_top(clk,rst,out);input clk,rst;output out;wire clk,rst,out;wire clk_out;dff u1(.rst(rst),.din(out),.clk(clk_out),.q(out));clk_div u2(.clk(clk),.rst(rst),.clk_out(clk_out));
endmodule

clk_div

`timescale 1ns/1ns
module clk_div(input clk,input rst,output clk_out
);reg[13:0] cnt_first,cnt_second;always @(posedge clk or posedge rst)if(rst)cnt_first <= 14'd0;else if(cnt_first==14'd9999)  cnt_first <= 14'd0;elsecnt_first <= cnt_first + 1'b1;always @(posedge clk or posedge rst)if(rst)cnt_second <= 14'd0;else if(cnt_second==14'd10000)  cnt_second <= 14'd0;else if(cnt_first==14'd9999)cnt_second <= cnt_second + 1'b1;assign clk_out= cnt_second==14'd10000 ? 1'b1:1'b0;endmodule 

开发板分频太高

所以需要cnt_div分频模块

100MHz->1Hz

dff

module dff(rst,din,clk,q);input rst,din,clk;output q;reg q;always @(posedge clk) if(rst) q<=0;else q<=~din;
endmodule

显示

为设计添加约束

Add or create constraints

Add Files

dff.xdc

set_property IOSTANDARD LVCMOS33 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports out]
set_property IOSTANDARD LVCMOS33 [get_ports rst]
set_property PACKAGE_PIN P17 [get_ports clk]
set_property PACKAGE_PIN K2 [get_ports out]
set_property PACKAGE_PIN R1 [get_ports rst]

Ok

显示

双击打开

工程的实现

PROGRAM AND DEBUG

Generate Bltstream


Open Hardware Manager

连接FPGA开发板

Open Target

Program Device

开发板演示

最后

这篇博客能写好的原因是:站在巨人的肩膀上

这篇博客要写好的目的是:做别人的肩膀

开源:为爱发电

学习:为我而行

Vivado安装使用【Verilog】相关推荐

  1. 最新的Vivado安装、使用教程(2022/12/31)

    本文主要参考了黑金社区提供的资料,整理而成 目录 1.Vivado 开发环境 1.1 Vivado 软件介绍 1.2 Vivado 软件版本--2017.4比较稳定 2. Vivado 软件 Wind ...

  2. vivado安装步骤

    1.vivado安装要求:操作系统必须是64位,关闭杀毒软件,各种电脑管家,电脑用户名不能出现中文,安装路径不能出现中文和空格 2.从xilnux官网下载vivado,我选择的是vivado2017. ...

  3. xilinx 账户申请以及vivado 安装

    老铁,还在为如何获取Vivado License而扎心?无论此刻你是一个需要安装Xilinx Vivado工具链的入门菜鸟,还是已有license过期的Vivado老铁,今儿咱就借着这篇文章,把学习「 ...

  4. 1、Vivado 安装及其破解

    本博客纯粹为了今后实验室入坑小师弟们写的,也方便我交接砖头. 我开始做FPGA只是为了老板项目将FPGA做背光控制器.当时发现单片机速度不够,又不能同时输出信号.现在入坑后发现FPGA功能强大,我简直 ...

  5. 基于vivado(语言Verilog)的FPGA学习(3)——FPGA理论知识

    基于vivado(语言Verilog)的FPGA学习(3)--FPGA理论知识 文章目录 基于vivado(语言Verilog)的FPGA学习(3)--FPGA理论知识 1. FPGA介绍 1.1.F ...

  6. Vivado安装器件不全

    参考大神:添加链接描述 vivado 重新安装后器件不全是什么原因?没有XC7K325TFFG900-2这个器件.有什么具体的解决办法吗? 解决办法: 你选择的是Vivado HL Webpack 版 ...

  7. sublime Text3安装和verilog安装

    百度下载sublime text3,安装 百度:sublime text 3 Package Control安装步骤 (在sublime中安装package control) 到官网去下载Packag ...

  8. vivado安装_Vivado下载与安装指南

    Vivado下载与安装指南 目前,vivado已推出2019.1版本,实验室所安装的为2018.3版本,由于软件向下兼容的特性,建议安装2018版本,若安装2019版本,请自带笔记本,安装过程与之前没 ...

  9. Vivado安装—Xilinx design tool already exists for 2019.1,specify a different program program group entr

    Vivado重新安装出现问题?{\color{Red}Vivado重新安装出现问题?}Vivado重新安装出现问题? Vivado重新安装出现问题?{\color{Red}Vivado重新安装出现问题 ...

最新文章

  1. oracle补丁怎么配置,如何检查Oracle补丁是否已安装?
  2. 服务器上文件一直被打开吗,Python: 如何判断远程服务器上Excel文件是否被人打开...
  3. 经典卷积神经网络--AlexNet的详解
  4. postgresql数据库用户名密码验证失败
  5. Java双等号,Equals(),HashCode()小结
  6. 比较两幅图像的相似度
  7. ASP存储过程参数数据类型
  8. 怎么看表_干货 | 剪力墙、柱、板配筋率到底怎么算?
  9. JAVA 面向对象的一些基础理解
  10. nodejs,python,sublime和Eclipse的包管理器 1
  11. python朴素贝叶斯分类器_简单说一下朴素贝叶斯分类器
  12. 就想了解服务器为什么1M带宽网速却达不到1M
  13. MySQL全文索引:中文语义分词检索
  14. Halcon 阈值算子汇总
  15. VVIC搜款网平台API接口
  16. 快乐的强化学习6——DDPG及其实现方法
  17. 记war exploded部署不成功
  18. 计算机的c盘是硬盘吗,笔记本固态硬盘是c盘吗_笔记本电脑SSD固态硬盘就是C盘吗-win7之家...
  19. tensorflow 学习笔记使用CNN做英文文本分类任务
  20. R720服务器打开Linux,戴尔服务器(R720\R820)在linux6.4下双网卡绑定具体步骤

热门文章

  1. 家具力学性能测试软件,家具力学性能
  2. vue3 kepp-alive 的使用
  3. 小学计算机教学的趣味性,浅谈小学信息技术课堂教学趣味性方法
  4. 跌破150美元、市值蒸发3600亿美元,这个圣诞节苹果过得“太冷了”!...
  5. 2012年2月51CTO壁纸点评活动获奖名单【已结束】
  6. Python的RSA签名
  7. Virtuoso: 最全安装(IC618,IC617等), 问题解决
  8. 证券股票交易接口需要满足什么要求才能在实盘中用?
  9. 机甲大师机器人控制(一):概念与流程
  10. 股票内参|港股暴力拉升 恒生科技指数大涨