Vivado重新安装出现问题?{\color{Red}Vivado重新安装出现问题?}Vivado重新安装出现问题?

  • Vivado重新安装出现问题?{\color{Red}Vivado重新安装出现问题?}Vivado重新安装出现问题?
  • 安装向导报错
  • 解决
  • 总结

Xilinx design tool already exists for 2019.1,specify a different program program group entry

Vivado无法重新安装,报错如下:Xilinx design tool already exists for 2019.1,specify a different program program group entry


安装向导报错

昨天下午在本地装了一下Vivado,晚上有事情没有回实验室,今天开完会中午发现还没有装好,并且发现在安装过程中极其吃CPU,PC变得很卡顿,为了更好地搬砖只能退出安装,等待晚上空闲重装,发现无法安装。安装过程中报这个错误——Xilinx design tool already exists for 2019.1, specify a different program program group entry

解决

安装过程中无法点击下一步:

原因是之前安一半就退出安装了,或者是之前的版本删掉了重装的SDK。笔者是因为安装太吃内存所以就中断先干其他事情了,如果大家遇到不用担心,也不用做其他繁琐的操作,只要找到“Xilinx Design Tools”这个文件夹,这文件夹在C盘,directory为:
C:\用户\你的用户名\AppData\Roaming\Microsoft\Windows\Start Menu\Programs
一般建议把如下路径的文件夹一起删除:
C:\Xilinx_Vivado
C:\用户\你的用户名.Xilinx

总结

以上就是今天的全部内容,因为安装向导已经指出问题是因为Xilinx design tool already exists for 2019.1, 是因为我们之前已经安装了赛灵思的设计工具,所以需要重新安装的话只需要把这个工具先清理掉就行了。

Vivado安装—Xilinx design tool already exists for 2019.1,specify a different program program group entr相关推荐

  1. 在win10环境中安装xilinx vivado IDE时出现的问题及解决方法

    在win10环境中安装xilinx vivado IDE时出现的问题及解决方法 参考文章: (1)在win10环境中安装xilinx vivado IDE时出现的问题及解决方法 (2)https:// ...

  2. 【Vivado那些事儿】在不重新安装 Vivado 的情况下,是否能够安装 Xilinx USB/Digilent 线缆驱动器?...

    Q: 如果 Xilinx USB/Digilent 线缆驱动器在安装 Vivado 设计套件时还没有安装,或者 Xilinx USB/Digilent 线缆驱动器被禁用,在不全面重新安装 Vivado ...

  3. xilinx 账户申请以及vivado 安装

    老铁,还在为如何获取Vivado License而扎心?无论此刻你是一个需要安装Xilinx Vivado工具链的入门菜鸟,还是已有license过期的Vivado老铁,今儿咱就借着这篇文章,把学习「 ...

  4. 最新的Vivado安装、使用教程(2022/12/31)

    本文主要参考了黑金社区提供的资料,整理而成 目录 1.Vivado 开发环境 1.1 Vivado 软件介绍 1.2 Vivado 软件版本--2017.4比较稳定 2. Vivado 软件 Wind ...

  5. Linux环境下安装Xilinx ISE 14.6

    1.从官网下载ISE 到官网注册,然后下载linux版本的ISE14.7. 链接:http://www.xilinx.com/support/download/index.html/content/x ...

  6. Vivado安装使用【Verilog】

    Vivado[Verilog] 前言 推荐 Vivado安装使用 vivado操作组合电路 新建工程 添加源文件 为设计添加约束 工程的实现 调试 开发板验证 vivado操作时序电路 新建工程 添加 ...

  7. SoC第一讲——Vivado的Block Design 的使用

    SoC第一讲--Vivado的Block Design 的使用 前言 近期刚接触SoC的学习,通过Xilinx的Vivado软件和ZYNQ系列的器件芯片学习SOC,特此在学习的过程中做些总结,以帮助自 ...

  8. 在 dotnet runtime 的容器中安装 dotnet global tool

    在 dotnet runtime 的容器中安装 dotnet global tool Intro .NET Core 从 2.1 开始支持 Global tool, 借助 global tool 我们 ...

  9. vivado安装步骤

    1.vivado安装要求:操作系统必须是64位,关闭杀毒软件,各种电脑管家,电脑用户名不能出现中文,安装路径不能出现中文和空格 2.从xilnux官网下载vivado,我选择的是vivado2017. ...

最新文章

  1. 最大的问题是没有发现问题之一:谈谈滥用继承
  2. pcb外观维修_PCB电路板维修的一些常用技法
  3. ASP.NET Core 中的中间件
  4. 段错误 php,如何解决php扩展出现段错误的问题
  5. 2017蓝桥杯C++A:方格分割(DFS)
  6. 【Python】常用字符串api
  7. css盒模型(附图解)
  8. 文件格式、后缀名、图片格式详解
  9. java structs_java深入探究12-框架之Structs
  10. umijs多环境配置_项目实战-UmiJS开发(附带qiankun)
  11. seo从入门到精通_SEO入门书籍推荐:从入门到精通,新人必看的3本书
  12. python : turtle 画一朵花
  13. BBeditV10.0的注册码
  14. 以几款火爆链游为例 读懂GameFi常见机制
  15. 使用SquirrelMail配置Webmail系统,并实现通Macallan Mail Solution邮件服务器的连接
  16. 【20220501】众人眼中的架构师
  17. md5在 node 中如何使用
  18. 关于CSS的学习内容一
  19. 视频如何剪切,分割视频软件哪个好
  20. Android 定时器+倒计时 仿淘宝秒杀

热门文章

  1. Android使用XML做动画UI
  2. 般若波罗蜜多心经——背过最好了
  3. 逻辑思维能力选择题30道
  4. 2021钳工技能高考成绩查询,这里有2021钳工时间和报名费用以及流程
  5. gorm中使用where in 条件
  6. 一个简单的文本编辑小程序
  7. APP开发者应办理许可或备案手续
  8. 表示自己从头开始的句子_从头-一切从头开始的句子
  9. 关于增量模型和迭代模型的区别
  10. React Native动画Animated详解