一 digital_clock设计需求
本设计采用FPGA,实现核心控制。利用独立按键当作输入,利用六位一体的共阳极数码管作为显示设备。具体要求如下:
1.数字钟要求显示时间、日期、闹钟时间。本设计采用verilog,芯片为50MHZ的EP4CE10F17C8N,实现核心控制。
2.显示时利用小数点将所显示内容分开。(例:19.12.55)
3.外部输入的按键有,切换按键,调整按键,加按键,减按键。具体功能如下:
数字钟要求显示时间、日期、闹钟设定时间。利用切换按键进行年月日、时间、闹钟定时操作,三种状态均可用增减两个按键进行调整,对于选中的数码管调整位,通过闪烁表示已经选中,例如:首先切换至日期,选中表示“年”的数码管,那么选中的位进行0.5秒的闪烁表示选中,其次可通过增减按键进行数字的增减。另外在按键消抖后,每次按键按下,蜂鸣器响表示已经按下;设定的闹钟到时候,按下任何按键均停止蜂鸣器,若没有按键按下,蜂鸣器长响至1min时长后,自动停止。

二 顶层设计

三 架构图

由于涉及代码过多,各子模块繁琐,已经将程序上传至主页,包括设计文档,需要的请自行下载。
https://download.csdn.net/download/weiyunguan8611/11055682

另外我的fpga的全部资料和笔记在这里哦(欢迎浏览查看):https://blog.csdn.net/weiyunguan8611/article/details/100934712

基于verilog的数字万年历时钟设计相关推荐

  1. 数字电子计算机处理信号shi,余洪伟 基于单片机数字电子时钟设计(led显示).doc...

    余洪伟 基于单片机数字电子时钟设计(led显示) 沈阳航空航天大学 课 程 设 计 (论文) 题目 基于单片机的数字电子时钟设计 (LED显示) 班 级 学 号 2013040701060 学 生 姓 ...

  2. 基于FPGA的数字视频信号处理器设计(中)

    今天给大侠带来基于FPGA的数字视频信号处理器设计,由于篇幅较长,分三篇.今天带来第二篇,中篇,视频信号概述和视频信号处理的框架.话不多说,上货. 之前也有图像处理相关方面的文章,这里超链接几篇,给各 ...

  3. keil c语言 1602时钟代码,基于单片机的LCD1602电子时钟设计.doc

    基于单片机的LCD1602电子时钟设计 设计任务和目的 1.1.设计任务 (1):用单片机设计基于LCD1602的电子时钟,显示时间和日期: (2):误差精度控制在1s/天: (3):具有时间和日期的 ...

  4. 基于单片机控制的数字温度计课程设计c语言,基于单片机的数字温度计课程设计.doc...

    基于单片机的数字温度计课程设计.doc 目录1 绪论 .11.1 设计背景 .11.2 设计要求 .11.3 设计思路 .12 系统硬件设计 12.1 设计总框图 12.2 各模块功能 .22.3 设 ...

  5. c51单片机时钟c语言程序设计,基于51单片机的电子时钟设计..doc

    -- I -- - - I -- 设计题目: 基于 51 单片机的电子时钟设计 摘要 单片机,是集 CPU ,RAM ,ROM ,定时器,计数器和多种接口于一体的微控制器.自 20 世纪 70 年代问 ...

  6. 基于DSP的数字振荡器C语言编程,基于DSP的数字振荡器的设计与实现.pdf

    1 基于 DSP 的数字振荡器的设计与实现 基于 DSP 的数字振荡器的设计与实现 黄福莹 陈华 徐金隆 广西大学计算机与电子信息学院 南宁 530004 摘要 摘要 本文阐述了一种基于 DSP 芯片 ...

  7. 基于 FPGA 的数字抢答器设计

    下面是基于 FPGA 的数字抢答器设计,在quartusII下,modelsim下仿真,编程语言VHDL.   1.抢答器的工作原理 主持人宣布可以抢答后,在 20s 的定时时间内若有人按键抢答,则这 ...

  8. matlab设计理想数字带通滤波器,基于matlab的数字带通滤波器课程设计报告

    基于matlab的数字带通滤波器课程设计报告 1 西安文理学院机械电子工程系 课程设计报告 专业班级 08级电子信息工程1班 题 目 基于 MATLAB 的数字带通滤波器 学 号 学生姓名 指导教师 ...

  9. 基于matlab的数字下变频器的设计与仿真应用,基于MATLAB的数字下变频器的设计与仿真应用.pdf...

    基于MATLAB的数字下变频器的设计与仿真应用 中国科技论文在线 基于 Matlab 的数字下变频器的设计与仿真 朱建新,沈树群* (北京邮电大学电子工程学院,北京 100876 ) 摘要:本文通过对 ...

  10. 基于微型计算机系统的实时时钟设计,基于51单片机的实时时钟设计报告.doc

    基于51单片机的实时时钟设计报告 PAGE PAGE 24 课程设计(论文)任务书 信息工程 学 院 信息工程 专 业 (2) 班 一.课程设计(论文)题目 嵌入式课程设计 二.课程设计(论文)工作自 ...

最新文章

  1. Survey | 生物医学文本挖掘最新进展
  2. linux shell读取文件
  3. xampp python linux,Ubuntu的XAMPP着运行python脚本
  4. 3264位Visio 2016怎么下载安装激活方法视频
  5. Java socket调用Http协议Get请求
  6. python 客户端_Python一个简单的通信程序(客户端 服务器)
  7. 《工作型PPT设计之道》培训心得
  8. C语言rf,C89:关键字 - osc_fdjrfnux的个人空间 - OSCHINA - 中文开源技术交流社区
  9. 怎么彻底删除mysql服务_mysql怎么卸载干净?
  10. 姓名评分程序PHP,姓名测试打分,免费姓名评分测试,免费姓名测试评分 - 姓名算命最准的网站...
  11. 64位win10下usb转485驱动
  12. html点击下载图片
  13. ArcBlock 博客 | 区块链和数据库:致虚极,守静笃
  14. 广义线性模型--Generalized Linear Models
  15. 计算机cpu多大,电脑的cpu频率多少算正常
  16. 为什么戏说php,戏说PHP——1.1切的开始
  17. 为通过大厂笔试,年轻人花300元找枪手
  18. proteus如何添加stm32_电路仿真软件详谈(六),Proteus电路仿真软件的超级应用
  19. 【已解决】-Mac/Mac mini/Macbook上修改鼠标指针大小
  20. 一个真实项目的性能分析策略

热门文章

  1. MTK6737平台的GPIO驱动例程(六)
  2. html表格如何创建搜索,6.如何创建HTML表格
  3. WEB安全漏洞扫描与处理(下)——安全报告分析和漏洞处理
  4. 机器学习特征工程之特征缩放+无量纲化:行归一化(范数化,Normalizer)
  5. 不规则图片显示(css实现多边形)
  6. 常用地理坐标系统和投影坐标系统
  7. 实验吧——安全杂项之“Snake”详解
  8. JAVA从入门到精通(2)
  9. 汽车电子时钟硬件设计指南
  10. 【暑期每日一题】洛谷 P1192 台阶问题