文章目录

  • 前言
  • 一、Modelsim -WLF (Wave Log File)
    • VCD(Value Change Dump)
  • 二、VCS -vpd(Value Change Dump)
  • 三、Debussy / Verdi -FSDB (Fast Signal DataBase)
  • 四、 NC verilog -shm
  • 总结

前言

仿真是IC设计不可或缺的重要步骤,仿真后一般需要记录下波形文件,用于做详细分析和研究。

一、Modelsim -WLF (Wave Log File)

示例:其中,run.do中的内容为要查看的波形信号。这个wlf文件只能由modelsim来生成,也只能通过modelsim来显示。不是一个通用的文件格式。


vsim -view vsim.wlf -do run.do

VCD(Value Change Dump)

IEEE1364标准(verilog hdl语言标准)中定义的一种ASCII文件,是通用的文件格式。

它主要包含了头信息,变量的预定义和变量值的变化信息。正是因为它包含了信号的变化信息,就相当于记录了整个仿真的信息,我们可以用这个文件来再现仿真,也就能够显示波形。因为VCD是 Verilog HDL语言标准的一部分,因此所有的verilog的仿真器都能够查看该文件,允许用户在verilog代码中通过系统函数来dump VCD文件。

我们可以通过Verilog HDL的系统函数dumpfile来生成波形,通过dumpfile 来生成波形,通过dumpfile来生成波形,通过dumpvars的参数来规定我们抽取仿真中某些特定模块和信号的数据。特别说明的一点是,正是因为VCD记录了信号的完整变化信息,我们还可以通过VCD文件来估计设计的功耗,而这一点也是其他波形文件所不具备的。Encounter 和 PrimeTime PX (Prime Power)都可以通过输入网表文件,带功耗信息的库文件以及仿真后产生的VCD文件来实现功耗分析。示例如下:

//  在testbench中加入以下内容initialbegin$dumpfile("*.vcd");$dumpvars(0,**);end

二、VCS -vpd(Value Change Dump)

IEEE1364标准(Verilog HDL语言标准)中定义的一种ASCII文件,是通用的文件格式。

它主要包含了头信息,变量的预定义和变量值的变化信息。正是因为它包含了信号的变化信息,就相当于记录了整个仿真的信息,我们可以用这个文件来再现仿真,也就能够显示波形。因为VCD是 Verilog HDL语言标准的一部分,因此所有的verilog的仿真器都能够查看该文件,允许用户在verilog代码中通过系统函数来dump VCD文件。

我们可以通过Verilog HDL的系统函数dumpvars的参数来规定我们抽取仿真中某些特定模块和信号的数据。示例如下:

代码如下(示例):

initialbegin$dumpfile("*.vcd");$dumpvars(0,**);end

三、Debussy / Verdi -FSDB (Fast Signal DataBase)

Spring Soft (Novas)公司 Debussy / Verdi 支持的波形文件,一般较小,使用较为广泛,其余仿真工具如ncsim,modlesim 等可以通过加载Verdi 的PLI (一般位于安装目录下的share/pli 目录下) 而直接dump fsdb文件。

fsdb文件是verdi使用一种专用的数据格式,类似于VCD,但是它是只提出了仿真过程中信号的有用信息,除去了VCD中信息冗余,就 像对VCD数据进行了一次huffman编码。因此fsdb数据量小,而且会提高仿真速度。我们知道VCD文件使用verilog内置的系统函数来实现 的,fsdb是通过verilog的PLI接口来实现的,例如$fsdbDumpfile, $fsdbDumpvars等

initialbegin$fsdbDumpfile("*.fsdb");  //*代表生成的fsdb的文件名$fsdbDumpvars(0,**);    //**代表测试文件名end

四、 NC verilog -shm

Cadence公司 NC verilog 和Simvision支持的波形文件,实际上 .shm是一个目录,其中包含了.dsn和.trn两个文件。

使用NC Verilog 对同一testcase和相同dump波形条件的比较,产生shm文件的时间最短(废话,本来就是一个公司的),产生vcd文件的时间数倍于产生shm和 fsdb的时间。在笔者测试的例子中,产生的fsdb文件为十几MB,shm文件为几十MB,而vcd文件则要几个GB的大小。

总结

例如:上边讲述了几种常用的数字IC中的设计仿真工具中做需要的仿真文件。

各种波形文件vcd,vpd,shm,fsdb仿真工具中所需要的相关推荐

  1. 各种波形文件vcd,vpd,shm,fsdb生成的方法

    仿真是IC设计不可或缺的重要步骤,仿真后一般需要记录下波形文件,用于做详细分析和研究.说一下几种波形文件WLF(Wave Log File).VCD(Value Change Dump)文件,fsdb ...

  2. 【仿真】波形dump(vcd,shm,fsdb,saif,...)

    IC研发过程中,可能会涉及到多种工具,过程中也可能需要不同格式的波形文件.从网上搜索情况来看,介绍saif的较少,所以这里着重介绍一下saif的dump. 下面粘贴了两个相关背景知识的帖子,如其中介绍 ...

  3. 在linux下vcd光盘提取,在Linux中制作VCD

    -- 也许您还在使用Windows的原因之一是Windows强大的多媒体支持能力,例如制作个性十足的VCD光盘.的确如此, Windows操作系统到目前为止仍然拥有操作系统中最强大的多媒体能力,因为有 ...

  4. 我该怎样度此生?——认真并干净地生活

    大海之雄伟,在于它有汹涌的波涛. 人生之丰富多姿,由于它有坎坷曲折的道路. 人活着是应该有自己的一片精神天地的.正因为有了这一片自己的精神天地,在遇到人生坎坷旅途时才能熬过去. 过来人都知道,有这样的 ...

  5. verdi 文件格式转换及差异

    1.fsdb2saif 命令: fsdb2saif 1.fsdb -bt 100ns -et 200ns -o 2.saif 将1.fsdb文件中100~200ns时间段的波形抽出转换为2.saif文 ...

  6. IC设计中不同eda工具波形文件(wlf/vcd/fsdb/shm/vpd)的区别及生成方法

    转自http://www.eetop.cn/blog/html/30/523930-36594.html 波形文件一般用于仿真后记录波形文件,用于做详细分析和研究.说一下几种波形文件WLF(Wave ...

  7. 波形文件(wlf,vcd,fsdb,shm,vpd)的区别,及如何生成

    在verilog和systemverilog等逻辑仿真的过程中,最关心的就是最后生成的波形是如何,我们才能根据波形去具体分析.但是往往会根据各个公司和各个平台工具的不同,会产生不同的波形文件,那么不同 ...

  8. fsdb和vpd格式波形生成并查看

    fsdb和vpd格式波形生成并查看 1.fsdb格式 (irun + verdi) 2.vpd格式 (vcs+dve) 3.参考 1.fsdb格式 (irun + verdi) 首先,tb文件里添加 ...

  9. VCS逻辑仿真工具-03——后处理:VCD+文件

    内容: 交互式:仿真中断了或者停下来了去处理一些问题 后处理模式:先仿真--产生波形--保存下来--再打开 将波形文件在仿真的时候记录下来,之后再把波形文件打开查看一下. vcd+文件: 对于大型的S ...

最新文章

  1. 裴健:搜索皆智能,智能皆搜索
  2. 比较好用的python编译器_10个最好用的在线编译工具
  3. 别让扁平化设计平淡无奇
  4. 叹息“博客园”的凋零
  5. msyql开启慢查询以及分析慢查询
  6. Vim 命令记录与回放
  7. js中字符串操作函数
  8. 运维工程师必会的109个Linux命令(1)
  9. halcon算子翻译——estimate_noise
  10. Ubuntu下安装nginx支持rtmp和http流媒体方案
  11. java 存储多叉树_JAVA多叉树森林的构造、内存存储与遍历
  12. 2位字母组合的全球国家或地区缩写
  13. Cocos2d-x 整理 SDK,易接流程(写给自己看的
  14. 从零开始制作游戏外挂
  15. 说到VDI与IDV的分分合合,不会再有比这更清晰的解释了!
  16. java audit模块实现_Linux安全审计功能的实现——audit详解
  17. 2022年全球市场车载麦克风总体规模、主要生产商、主要地区、产品和应用细分研究报告
  18. RequireJS介绍与应用
  19. 复合效应 达伦哈迪_帕特里克·麦克哈迪(Patrick McHardy)和版权暴利
  20. 小皮php的简单使用

热门文章

  1. 优秀的Java程序员都在看哪些书?
  2. VUE获取上月、当月、前几月等等问题
  3. 正大国际期货:巴菲特旗下伯克希尔•哈撒韦三季度持仓
  4. Meta半年亏损57.7亿美元也要搞元宇宙,听听扎克伯格自己是怎么说的
  5. [数据库汇总]-- sql 的基本知识
  6. 吉林大学设计模式第四次作业(上)
  7. paypal电汇使用心得
  8. 无线各种组网架构及应用
  9. 父母写给叛逆孩子的一封信范文
  10. vue中输入框的限制,最多三位小数~~