在eclipse中svn检出java web项目后,项目名称上带有红色感叹号,解决方法:

项目名上右击,选择Build Path--》Configure build path,打开下图配置窗口,

可以看到,Libraries选项中的JRE System Library[jdk1.6.0_31](unbound)前面有个红色叉号,由此可以看出原项目开发环境使用的是jdkjdk1.6.0_31版本的,而本机开发环境的jdk版本不是jdk1.6.0_31,所以会显示unbound未绑定的状态。选中该项,点击remove,将其删除。然后点击Add Library,在弹出窗中选择JRE system Library,Next,选择本机开发环境的JRE版本即可。完成后,项目前面的红色叉号消失。

若项目Src目录下有包名上出现红色叉号,java类中出现错误The import net cannot be resolved或XXX cannot be resolved to a type,如图,

这是由于缺少jar包导致的,而缺少的这些jar包在项目的lib文件夹下都存在,那么这可能是由于项目检出时并没有将这些jar包引入到JRE中,按照下面方法操作:

项目名上右击,选择Build Path--》Configure build path,打开下图配置窗口,

可见,Libraries中的jar不全,没有包括lib文件夹下所有jar包,选择ADD JARs,如图弹出JAE Selection窗口,选中该项目lib文件夹下的所有包,点击OK,将其添加到Libraries中。

设置完成,项目包前面的红色叉号消失。

转载于:https://www.cnblogs.com/mubin/p/3522015.html

eclipse中svn检出项目,项目名上带有红色感叹号相关推荐

  1. 2021-02-13-idea使用svn检出代码和更新上传代码

    idea使用svn检出代码和更新上传代码 前言 整合 检出(跟导入一个意思)项目代码 更新上传代码 前言 一般,进入公司开发一个项目,你的领导一般会给你一个svn的链接和账号密码 你只需要学会从svn ...

  2. Eclipse中从svn检出maven项目,报pom.xml错误,相关包或类不能导入的问题

    在使用eclipse的时候,我们用svn插件检出项目,常常有一些问题,莫名其妙的出现,莫名其妙的又会变好了,这让强迫症发作的我来说是个噩梦,之前看到网上的n多种解决方法,然而貌似对于我并不实用.今天自 ...

  3. eclipse从svn检出项目

    在eclipse的project explorer 右键->import->svn->从svn检出项目,然后填写资源库的位置,完成,然后一直next. 直到项目检出完成后,选择项目, ...

  4. eclipse项目上带有红色感叹号和黄色感叹号的原因

    项目上出现红色感叹号!是因为引用的jar包没找到,左击选择项目,右键以后选择最后一个,属性,打开构建路径查看库,带有红色标记的就是没找的jar包,移除重新引用即可. 黄色感叹号则是引用了但是没有用到, ...

  5. Eclipse 从SVN检出项目之《文件夹 “” 已不存在 》

    我们使用Eclipse中的SVN会出现文件夹""已不存在的情况,下面是我解决这个问题的方法↓↓↓ 1.更改你的Eclipse中的SVN Interface  windows > ...

  6. Intellij Idea 从 Svn 检出 Maven 项目、部署 Tomcat 运行

    2019独角兽企业重金招聘Python工程师标准>>> 之前一直用的MyEclipse,现在换个开发工具试试,随手记录一下Intellj Idea 工具的使用,这里导入的Maven项 ...

  7. Eclipse中git检出、更新、提交、合并分支、以及解决冲突

    一..检出git代码 在eclipse中空白区域右键 Import 检出项目:选择git方式检出 选择用git urI 链接的方式检出项目并点击继续 在这里填写你的git项目地址.账号密码 二.更新 ...

  8. java的svn插件maver_eclipse中 svn插件导入maven项目

    地址:http://jingyan.baidu.com/article/a948d6510e1af00a2dcd2ec2.html 之后选择svn-->从svn检出项目,然后输入svn地址,按照 ...

  9. 【实测】idea SVN项目检出与项目配置及Tomcat、JDK部署运行

    需安装工具 安装jdk 安装idea 安装nodejs 安装webstorm 解压redis 解压maven 安装oracle Idea前置工具及部署 安装tortoiseSVN客户端 安装时请注意: ...

最新文章

  1. 【iCore4 双核心板_FPGA】例程八:乘法器实验——乘法器使用
  2. 加州大学新算法:让智能汽车更精准检测行人
  3. wxWidgets:创建自定义小部件
  4. Apollo 刨析:简介
  5. EnforceLearning-在线学习-被动强化学习/评价学习
  6. python pointer_python 的隐式指针特征与class inheritance
  7. 未来,边缘计算的功能支柱是 Kubernetes
  8. [大学回忆录-思想]找工作:也谈谈我的专业技能
  9. java ajax点击出现_jquery ajax获取json数据 然后action 的response 返回数据出现 java.lang.IllegalStateException异常...
  10. L2-003. 月饼-PAT团体程序设计天梯赛GPLT
  11. CCF201503-1 图像旋转(100分)【数学计算】
  12. docker容器和宿主机时间不一致的问题
  13. 用友修改服务器名称,用友修改服务器地址
  14. 华硕服务器主板型号命名规则,华硕ROG系列主板命名规则详解_华硕 Maximus V Formula_主板评测-中关村在线...
  15. UEFI原理与编程(十):UEFI的基础服务-系统表
  16. RS232串口线接法与线芯引脚定义
  17. 解决python osdir() 函数 文件夹排序问题
  18. 京东智联云能打破“强者恒强”的定律吗?
  19. Ubuntu apt卸载
  20. 直流有刷电机及Matlab/Simulink驱动仿真

热门文章

  1. 软件测试工程师要掌握的Linux系统命令-很全,但不是最全!
  2. 现网问题排查实战:Jstat,Jstack,Jmap
  3. DE29 Matrix Exponentials
  4. dimension and x,y,z components
  5. 基于集成学习的小麦赤霉病高光谱图像识别方法研究
  6. C语言单链表的应用,单链表应用
  7. matlab求解微分方程6,牛津大学出版社数学应用软件作业6 用Matlab求解微分方程(组)的解析解和数值解:经典爱情语录大全...
  8. 曲面化原理创新设计_曲面丝印机会给我们带来什么样的美丽
  9. 集成学习(二)——XGBoost
  10. python 数据分析--2016美国竞选辩论可视化