各种仿真波形文件

一、仿真波形文件介绍

1.Wlf文件:WLF波形日志文件,是modelsim的专用文件。

这个wlf文件只能是由modelsim来生成,也只能通过modelsim来显示。

在用modelsim做仿真时,仿真结束都会生成一个*.wlf的文件(默认是vsim.wlf)。

下次就可以通过通过modelsim直接打开这个保存下来的波形。

vsim -view vsim.wlf -do run.do

其中run.do中的内容为要查看的波形信号。要强调的是不是一个通用的文件文件格式。

2.VCD文件:VCD 是一个通用的格式。

VCD文件是IEEE1364标准(Verilog HDL语言标准)中定义的一种ASCII文件。

可以通过Verilog HDL的系统函数 $dumpfile,$dumpvars 等来生成。

我们可以通过$dumpvars的参数来规定我们抽取仿真中某个特定模块和信号的VCD数据。

它主要包含了头信息,变量的预定义和变量值的变化信息。正是因为它包含了信号的变化信息,就相当于记录了整个仿真的信息。

可以用这个文件来再现仿真,也就能够显示波形。

另外我们还可以通过这个文件来估计设计的功耗。

因为VCD是 Verilog HDL语言标准的一部分,因此所有的verilog的仿真器都要能实现这个功能。

因此我们可以在verilog代码中通过系统函数来dump VCD文件。

另外,我们可以通过modelsim 命令来dump VCD文件,这样可以扩展到VHDL中。

具体的命令:

vcd file myfile.vcd vcd add /test/dut/*

这个就生成一个含dut下所有信号的VCD数据信息。我们在使用来进行仿真

vsim -vcdstim myfile.com test;add wave /*;run -all;

注意:WIF:波形中间格式;WLF:波形日志文件。由于在ModelSim下只能打开VCD文件

使用ModelSim行命令vcd2wlf将VCD文件转化为WLF文件 。

VCD文件生成

在testbench中加入:

initial

begin$dumpfile("tb.vcd");

$dumpvars();end

3.FSDB文件:fsdb文件是verdi使用一种专用的数据格式。

类似于VCD,但是它是只提出了仿真过程中信号的有用信息,除去了VCD中信息冗余,就像对VCD数据进行了一次huffman编码。

因此fsdb数据量小,而且会提高仿真速度。

我们知道VCD文件使用verilog内置的系统函数来实现的,fsdb是通过verilog的PLI接口来实现的, $fsdbDumpfile,$fsdbDumpvars 等。

另外,在VCS仿真器中还有一种VCD+的数据格式VPD,详细情况参照VCS的使用。

Testbench中加入:

initial

begin$fsdbDumpfile("tb.fsdb");

$fsdbDumpvars();end

4、shm是Cadence公司 NC verilog 和Simvision支持的波形文件,实际上 .shm是一个目录,其中包含了.dsn和.trn两个文件。

5、vpd是Synopsys公司 VCS DVE支持的波形文件,可以用$vcdpluson产生。

二、波形文件的具体生成

testbench文件显示波形_各种仿真波形文件相关推荐

  1. testbench文件显示波形_modelsim显示模拟波形详解

    虽然Modelsim的功能非常强大,仿真的波形可以以多种形式进行显示,但是当涉及到数字信号处理的算法的仿真验证的时候,则显得有点不足.而进行数字信号处理是Matlab的强项,不但有大量的关于数字信号处 ...

  2. testbench文件显示波形_modelsim仿真没有波形或看不到波形的原因及解决方法 - 全文...

    关于modelsim仿真时出现 No objects found matching '/*' 问题在Modelsim6.2系列版本中仿真时,点击start simulateion后,出现Objects ...

  3. dve 二维数组信号 显示波形_交互式仿真下dve和verdi中查看二维数组值

    在交互式仿真中,可以通过dve工具和verdi工具,查看二维数组的值.但是不能查看稀疏数组的值. 什么是稀疏数组,类似于如下定义: reg [7:0] memory [bit[63:0]] 一.dve ...

  4. pyqt5:python读取二进制文件(音频PCM文件)显示波形

    文章目录 1.使用ffmpeg生成PCM文件 1.1 用 ffprobe 查看文件信息 1.2 用 ffmpeg 命令转换 1.3 用ffplay 测试播放PCM文件 2.python读取PCM文件显 ...

  5. dve 二维数组信号 显示波形_函数任意波形发生器价格

    函数任意波形发生器价格,深圳市乐信智测科技有限公司成立于2017年01月11日,注册地位于深圳市龙华区民治街道北站社区创业花园177-180栋411,法人代表为李澍龙. 函数任意波形发生器价格, 说明 ...

  6. ll文件显示为?????_关于shell编程中的文件测试简单的操作实例

    谈一谈关于shell编程中的文件测试 Shell编程有时处理一个对象时,需要我们对对象进行测试. 只有符合要求的才采取下一步操作,这样做的好处可以避免程序出错. 这个测试的对象可以是文件.字符串.数字 ...

  7. 打开 mhtml 文件 显示不全_解决 Nginx autoindex 显示文件名不全的问题

    Nginx 做文件服务器时,只要打开了autoindex就可以作为一个简易的文件服务器.然而Nginx 默认的配置下,长文件名会以省略号显示. 这么常见的问题,我去搜了一圈都没发现比较简单的方法,才有 ...

  8. java里的文件显示繁体_关于在JSP页面上同时显示简体和繁体的问题(字符编码)...

    编写过JSP页面的朋友大概对于中文乱码问题已经是司空见惯了,网上对于这方面问题的解决办法也很多,在此我就不多赘述了. 但是最近我遇到了一个问题,就是我编写过的页面,有时候会显示繁体字:而这个是通常的G ...

  9. modelsim仿真不出波形_直接扩频通信(下)仿真

    大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分.大侠可以关注FPGA技术江湖,在"闯荡江湖"."行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢. ...

最新文章

  1. 222页斯坦福人工智能报告出炉:全球AI投资猛增680亿,北美博士学术机构就业率下降
  2. (转)CentOS分区操作详解
  3. JavaFX上手--第1天
  4. 数据结构0类模板的使用
  5. html网页报告怎么导出,cucumber生成html的报告实现步骤
  6. 五年级计算机课程内容,五年级信息技术教学计划
  7. Linux下,Pycharm到期,源不好使,无法安装pyqt5及pyqy5-tools的解决办法
  8. dpkg-buildpackage: error: fakeroot not found, either install the fakeroot
  9. 计算机语言学翁富良,形式语言与自动机的关系
  10. 如何学会记账,并分别统计每个月收入和支出的金额
  11. aptx与ldac音质区别_蓝牙协议LDAC和aptx的区别?
  12. html5 xml在线编辑,XML 编辑器
  13. 撮合引擎开发:MVP版本
  14. vb python excel_震惊!当Python遇到Excel后,将开启你的认知虫洞
  15. 传智博客学习笔记8--面向对象下
  16. lync本地聊天记录修改目录保存的方法
  17. 自定义Sprin-Boot-Starter
  18. 深度学习与围棋 名词笔记(一)
  19. 东软载波M0,HR8P506开发应用初阶-搭建环境及点亮LED.
  20. 写给MatheMagician读者的第三封新年来信——暨年终总结与感悟

热门文章

  1. PCIE协议解析 synopsys IP Power Management Capability 读书笔记(10)
  2. 推荐一款全能PDF编辑神器:PDFelement Pro Mac中文版
  3. 接口自动化测试框架unittest和pytest差异比较
  4. selenium+ Phantomjs爬取动态网页
  5. Pandas-时期(二)-数据类型:PeriodIndex(其中每个元素的类型为:Period)【创建:①pd.PeriodIndex([], freq=‘‘);②pd.period_range()】
  6. 计算机网络学习心得1
  7. 腾讯未来交通白皮书2.0
  8. 电大通用计算机考试,电大计算机考试试题
  9. 自学两个月,我是怎么从0基础到拿到7个offer的
  10. 走楼梯(stairs)