最近在学习及改写dma模块,是基于Avalon总线的。正在结合手册《Avalon Interface Specifications》与相关代码进行深入了解。当然,各个信号顺序都是按照某位大佬的一个模块顺序来逐个学习分析的,排序可能不是太合理,等全部写完之后,我会再整理一波。
主要参照**《Avalon Interface Specifications》中的Table3-1Table3-2**

1.Signals

burstcount
宽度为1-11,方向为M->S,表示的是发生突发(burst)时传输的数量,最大突发数量一定是2的n-1次幂,n为burstcount的位宽。譬如,4bit的burstcount最大图发数量为8。对于单位,参考Table3-2中的burstCountUnits,发现单位可以是words(default),也可以是symbols。在手册中symbols后还有个括号(bytes),故symbols和bytes是等价的。如果是以symbols为单位,则burstcount是字节的数量;如果是以words为单位,那么就应该是多少个words位宽,翻译起来比较生硬。同样是传递128bit,如果是以symbols为单位,即burstcount=128/8=16;如果是以words(假设位宽为16),那么burstcount=128/16=8。
byteenable\byteenable_n
宽度为2\4\8\16\32\64\128,这些取值的目的我想是为了进行边界对齐;方向为M->S,当传输位宽大于8比特的时候,通过使用byteenable或byteenable_n来进行对应byte的使能。以文档中传输32比特为例:32比特显然大于8比特,32bit可以分成4个byte,设置byteenable位宽为4,下面的对应关系我直接拷贝了文档
• 1111 writes full 32 bits
• 0011 writes lower 2 bytes
• 1100 writes upper 2 bytes
• 0001 writes byte 0 only
• 0010 writes byte 1 only
• 0100 writes byte 2 only
• 1000 writes byte 3 only
waitrequest/waitrequest_n
宽度为1,方向为S->M。当Slave无法及时对read或write请求回应时,即发送一个waitrequest强制让Master等待,直到可以处理之前发的read或write请求为止。

Avalon总线(一)Avalon-MM Signals相关推荐

  1. Qsys自定义组件的开始-Avalon总线规范(中文)

    学习FPGA这么长时间了,一直没有整理自己的学习内容,这回要把每一段时间的学习内容总结一下,就从自定义组件开始吧.一定要坚持下来呀!! Avalon 总线规范 参考手册   (Avalon从端口传输与 ...

  2. 【Avalon总线】4.avalon总线MM设备读写程序实例及分析

    1 引言 本文将通过作者写的程序对avalon总线进行描述,相信会对avalon总线有更加深的认识. 2 模块连接和源代码 图1给了编写的模块和NIOS核之间的互联关系.(图中只示出了与本文相关的模块 ...

  3. Avalon 总线 时序 介绍

    Avalon 总线广泛应用于外设和软核或者硬核交互,其时序简单明了,也非常适合用来作为划分模块的接口信号.本文结合quartus 关于 avalon 总线英文原版做简单介绍,重点理解时序即可. 1.A ...

  4. python总线频率_跳上Avalon总线:一种简化的FPGA接口

    引言本文引用地址:http://www.eepw.com.cn/article/201703/345268.htm 许多新式FPGA设计采用了一些用于控制的嵌入式处理器.一种典型解决方案需要使用诸如N ...

  5. Avalon总线基础介绍(数据手册版)之Avalon-MM接口

    文章目录 Avalon-MM接口 Avalon-MM接口介绍 Avalon-MM接口信号描述 adress byteenable or byteenable_n read or read_n read ...

  6. Altera 的SOC器件之将自定义的IP挂在ARM硬核下(通过avalon总线),实现arm核与IP之间的通信

    Altera 的SOC器件之将自定义的IP挂在ARM硬核下(通过avalon总线),实现arm核与IP之间的通信 软件: Quartus II 17.0 芯片: ALTERA Cyclone5 5CG ...

  7. Verilog自制NIOS2外设,Avalon总线上的HelloWorld

    虚无的目录 嘿嘿嘿,开新坑 这个时候有个CPU来测试就太好了 总线 这次只用到下面几个必要的信号 接下来干什么 自制外设的代码 Qsys配置新组件 连接到Nios内核 配置顶层连接 来吧,eclips ...

  8. 理解Avalon总线的静态地址对齐与动态地址对齐

    尽管看了不少资料,但是一直对 Avalon slave 的动态地址对齐和静态地址对齐的理解不是很透彻.前两天自己做了一个SOPC的自定义组件,通过Deprecated这个选项的不同选取,一下子就理解了 ...

  9. Avalon总线概述

    Nios系统的所有外设都是通过Avalon总线与Nios CPU相接的,Avalon总线是一种协议较为简单的片内总线,Nios通过Avalon总线与外界进行数据交换. Avalon总线接口分类 可分为 ...

最新文章

  1. python基础语法第10关作业-Python基础语法习题一
  2. 【代码】ReentrantLock还可以指定为公平锁
  3. SAP Data Intelligence API如何获得Access Token - no authentication means found
  4. 【渝粤题库】国家开放大学2021春3907安全原理题目
  5. python与lua闭包的一点不同
  6. C语言排序方法------快速排序
  7. JavaScript 打开新页面
  8. 二、概率p值检验例题(R语言)
  9. 解决Linux终端无法复制粘贴现象
  10. arch模型 matlab,ARCH模型(arch模型干嘛的)
  11. 感应熔炼设备市场现状及未来发展趋势分析
  12. 第一批阳了的中年人:卷不赢,躺不平,摆不烂
  13. Python百练成钢002-计算自幂数
  14. Win10开启远程桌面
  15. Neo4j 图数据库高级应用系列 / 服务器扩展指南 APOC 8.6 - 图生成 小世界模型
  16. 搜索引擎蜘蛛的基本原理
  17. 干净卸载mac上的软件
  18. AnnaAraslanova/FBNet 程序分析
  19. 解决QT问题:运行qmake:Project ERROR: Cannot run compiler ‘cl‘. Output:
  20. 最近做code review的5点经验分享

热门文章

  1. 计算机中信息的质量单位有哪些,生活常识科普:质量单位有哪些
  2. 数据结构 - Java -韩顺平 图解Java数据结构和算法
  3. python 客户端同构_python – 子图同构
  4. C# dataGrid控件与dataGridView控件数据框绑方式
  5. java导出excel无法打开
  6. mysql substring用法_MySQL数据库中系统函数SUBSTRING功能简介
  7. 读书是什么,为什么读书,如何读书
  8. call与apply
  9. 课后作业--Python语言打印菱形(奇、偶数行效果)
  10. 供应链系统风险管理对策 | 实时预警,助力建筑材料企业规范和转移风险