提要:这个电路图是我和同班同学六个人十几天做出来的,在基于基本功能的基础上加上了两个简单的扩展功能,由于我们个人能力不足,有些功能做的大差不差的,但是我们很自豪,整个电路的设计都是原创呜呜呜呜呜,两头三头熬夜做的,当然完成品也有些值得改进的地方,所以以下电路仅供参考,如果有愿意买电路图和项目技术文档以及答辩ppt的可以来私信我,快来呀快来呀。

项目要求:

(1)用红、黄、绿三色发光二极管作信号灯,设计制作一个交通灯控制电路

(2)当A通道允许通行亮绿灯时,B干通道亮红灯。而B干通道允许通行亮绿灯时,A干道亮红灯

(3)两者交替允许通行,主干道A放行45秒,支干道B放行25秒。

(4)在每次由绿灯变成红灯时的转换过程中间,要亮5秒黄灯作为过渡,以使行驶的车辆有时间停到禁止线以外。

课程设计所需要的基础概念电路图:

技术文档中所设计的功能模块

  技术文档里有芯片讲解,模块功能讲解哦

 总电路看看:

(原创)数字电路设计基础 大一期末 项目 交通灯控制器设计相关推荐

  1. eda交通灯控制器波形输入_基于VHDL的交通灯控制器设计

    应用VHDL语言设计数字系统,大部分设计工作可在计算机上完成,从而缩短系统开发时间,提高工作效率.下面介绍基于VHDL设计交通灯控制器的一种方案,并给出源程序和仿真结果. 1 系统功能与要求 交通灯控 ...

  2. CASE_01 基于FPGA的交通灯控制器

        该系类博客序言和资源简介可浏览该博客:PREFACE FPGA经典案例序言 快速了解该系列博客的内容与可用 资源. 目录 1 案例引导 1.1 硬件设计初窥 1.2 逻辑设计初窥 2 模块级逻 ...

  3. VHDL交通灯控制器的设计

    主要内容: 设计主干道的交叉路口交通信号灯无人自动管理的控制系统.将路口红绿灯的各种亮灯情况定义不同的状态,路口状况定义为触发条件,组成有限状态机. 1.设计的目的 本次课程设计的目的是通过设计交通灯 ...

  4. VHDL设计交通灯控制器的课程设计

    交通灯控制 设计要求:设计一个交通灯控制, 1当乡村公路无车时始终保持乡村公路红灯亮,主干道绿灯亮. 2当乡村公路有车时,而主干道通车时间已经超过他的最短通车时间时,禁止主干道通车,让乡村公路通行.主 ...

  5. 52单片机交通灯课程设计

    单片机交通灯课程设计 1. 实验目的和器件 1.1实验目的 (1)通过单片机课程设计,熟练掌握C语言的编写方法,将理论联系到实际中去,提高我们的动手和动脑能力. (2)通过交通信号灯控制系统的设计,掌 ...

  6. 现代交通灯的设计C语言编程,交通灯设计_优秀论文.doc

    . PAGE .. 毕业论文(设计) 题 目 基于单片机控制的 交通灯的设计 _ 学生姓名 学 号 专业班级 指导教师 完成时间: 201 年 月 日 . PAGE .. 摘 要 交通信号灯的出现,使 ...

  7. 【Arduino】交通灯控制设计 四位数码管

    实验现象 在Arduino开发板上设计红黄绿Led灯定时循环同步数码管显示,以数码管时间显示进行定时循环控制,要求红绿灯定时15秒,黄灯定时5秒.并在此基础之上设计串口通信键盘输入变量进行红黄绿LED ...

  8. 交通灯控制器的verilog实现

    用状态机实现交通灯控制器,仿真通过,有代码以及testbench. 要求: 方向1是主干道,绿灯时间较长,交通灯状态循环为: 绿:40 黄:5 左:15 黄:5 红:55 方向2不是主干道,绿灯时间较 ...

  9. 51单片机 时间控制的不同时段交通灯控制设计(简单版)

    这个交通灯的设计时简单版,只有一个路口(不是十字路口)的那种(想要实现十字路口可以对代码稍加修改) 使用的开发软件:Keil uVision5 单片机类型为:C51 语言类型:C语言 在这里说一下实现 ...

  10. Verilog语言交通灯课程设计

    一. 设计思路 1)设计一个十字路口交通灯控制器.交通灯处于东西大街和南北大街,如图2-1所示用寄存器模拟十字路口交通信号控制情况.当东西方向为绿灯时,南北方向为红灯,二南北方向为绿灯时,东西方向为红 ...

最新文章

  1. 网吧电影客户端Realplayer的装配问题
  2. Java数据结构和算法(一)——简介
  3. CImage 是基于GDI+的,很老的一篇文章,我很久很久以前看到过的
  4. Quagga的安装碰到的问题
  5. 【POI2007】OSI-Axes of Symmetry【计算几何】【manacher】
  6. 公司创始人、董事长、CEO和总裁谁更大,有什么区别?
  7. 初学UML,画了几个UML图
  8. 可能这就是我应用pytest搭建的第一个测试框架吧
  9. Python自动化课之Day3篇
  10. 简单的jq动态修改checkbox的checked值
  11. table模板标签,批量多选失效的问题,tr td结构多选框失效相关问题
  12. 新入手了台IBM Thinkpad T60笔记本 重装系统
  13. 机器学习算法之聚类算法拓展:K-Means和Mini Batch K-Means算法效果评估
  14. B2B2C模式的三种核心逻辑
  15. 人间简史从动物到上帝读后感_从我的博客到上帝的耳朵...
  16. LeetCode-876. 链表的中间结点 C语言
  17. 系统分析大作业用户手册
  18. 2008年中国各省市人口排名(包含港澳台)
  19. IEC61131, IEC61499图形可视化,PLC图形可视化,PLC组态,VBScript和JavaScript脚本,解决方案源代码, 开发平台100% VC++源码2018!
  20. 【计算机毕业设计】至臻阁古董拍卖网

热门文章

  1. 【MTK AF】Acce/Gyro/PD/Laser Driver Check
  2. jacob转pdf linux,Java 使用jacob实现doc转pdf(附带其他方法分析)
  3. centos7利用libreoffice将doc文件转换为pdf
  4. CBA离好生意还差几个NBA?
  5. 学计算机基础的重要性,浅谈学习计算机基础知识的重要性.pdf
  6. java实验三 敏捷开发与XP实践
  7. 西电计算机好考吗,西电计算机考研难吗 西电计算机专业考研难度有多大?
  8. 台式计算机diy,电脑:台式机DIY及组装
  9. 怎么关闭他人计算机网络,远程关机在局域网中,怎样能关闭别人的电脑呢 – 手机爱问...
  10. Autosar之EB的安装与激活