目录

前言

一、文档概述

二、文档展示

三、文档说明及文档获取方式


前言

为方便广大fpga工程师更快速的了解Xilinx各器件特性,笔者将自己在工作中常用到的Xilinx官方文档中文版免费分享给大家。此文章是对笔者制作的xilinx中文文档内容的部分展示。若需要完整的中文文档,请您前往笔者公众号“fpga加油站”自行下载。若在“中文文档”栏未找到对应文档,请查看公众号历史文章或直接在公众号搜索。

公众号二维码:


一、文档概述

UG903描述在 Vivado 工具中使用 Xilinx Design Constraints (XDC)。XDC 结合了行业标准 Synopsys Design Consrain ts(SDC) 和 xilinx 专有约束。创建 XDC 以定义时钟、I/O 延迟和时序例外(如伪路径和多周期路径),以及最小/最大延迟​。

二、文档展示

三、文档说明及文档获取方式

此中文文档是在机器翻译的基础上加以修改而来,初衷旨在帮助刚入门的fpga工程师或者有需要的人更快、更方便地了解Xilinx器件特性,以助力国产fpga行业的发展。翻译的准确度在90%左右。另外,文档中可能存在图片和表格数据排版混乱等问题,但不影响对原文意思的把握。建议在阅读时和英文文档一起对照阅读,以便准确把握原文意思。

如果想获取更多Xilinx中文文档,请关注微信公众号:fpga加油站。

扫码即可关注
 

UG903中文文档分享(拒绝套路) | xilinx相关推荐

  1. xilinx中文文档编号及其内容简介

    目录 前言 一.7系列user guides 二.vivado_user_guides 结束语 前言 为使广大fpga工程师更快捷地找到所需要的xilinx中文文档,笔者在这里对一些常用的xilinx ...

  2. ug900-vivado-logic-simulation中文文档 | Xilinx

    目录 文章目录 前言 一.文档概述 二.文档展示 三.文档说明及文档获取方式 前言 为方便广大fpga工程师更快速的了解Xilinx各器件特性,笔者将自己在工作中常用到的Xilinx官方文档中文版免费 ...

  3. 中文文档规范化,zh.md 来帮你!丨TiDB Hackathon 2020 优秀项目分享

    近日,由 TiDB 社区主办,专属于全球开发者与技术爱好者的顶级挑战赛事--TiDB Hackathon 2020 比赛圆满落幕.今年是 TiDB Hackathon 第四次举办,参赛队伍规模创历届之 ...

  4. UG906中文文档简介 | Xilinx

    UG906概述:本手册详细介绍 Vivado工具的功能特色,包括 FPGA 设计的逻辑和时序分析以及工具生成的报告和消息.探讨达成时序收敛的方法,包括审查时钟树和时序约束.设计布局规划以及实现运行时间 ...

  5. ug896-vivado-ip中文文档 | Xilinx

    UG896概述:详细介绍 Vivado 工具 IP 设计流程,使用各种来源的 IP 内核,包括创建您自己的 IP.Vivado 工具提供整合 IP 来源的 IP 目录,包括来自 System Gene ...

  6. GitHub 中文文档正式发布

    点击上方"方志朋",选择"设为星标" 回复"666"获取新整理的面试资料 中国作为全球最大的人口大国,所属开发者在 GitHub 上的占比自 ...

  7. Beetl2.7.13 中文文档

    ## Beetl2.7.13 中文文档 ### 1. 什么是Beetl Beetl目前版本是2.7.13,相对于其他java模板引擎,具有功能齐全,语法直观,性能超高,以及编写的模板容易维护等特点.使 ...

  8. Mitmproxy/mitmdump中文文档以及配置教程

    目录 目录 Introduction Features Installation Run Scripts Events 本文是一个较为完整的mitmproxy教程,侧重于介绍如何开发拦截脚本,帮助读者 ...

  9. springboot中文文档_登顶 Github 的 Spring Boot 仓库!艿艿写的最肝系列

    源码精品专栏 中文详细注释的开源项目 RPC 框架 Dubbo 源码解析 网络应用框架 Netty 源码解析 消息中间件 RocketMQ 源码解析 数据库中间件 Sharding-JDBC 和 My ...

最新文章

  1. 创建maven工程的时候settings.xml 几个配置的文件
  2. 剑指offer二:字符串中的空格替换
  3. phalcon安装-遇坑php-config is not installed 解决方法
  4. element-ui中用el-dialog+el-table+el-pagination实现文件默认选中且在分页的条件下有记忆功能...
  5. ssm整合2 增删改
  6. Boost::Regex 使用方法 (英文)
  7. sql2005 无法解决 equal to 操作Chinese_PRC_CI_AS 和 Chinese_PRC_CS_AS 之间的排序
  8. Java从零开始(4)——入门项目
  9. 基于LM2733升压电路设计
  10. 计算机网络国家标准,计算机网络教室建设标准本标准参考国家标准GB50174并结合金州.doc...
  11. 测试学习-123-Linux性能测试监控CPU内存脚本(极简清爽)
  12. JScriptJQuery学习
  13. Cash-secured Puts
  14. 苹果手机通话没有声音是怎么回事_手机通话声音太小?只需要打开这个开关,声音变大好几倍...
  15. OSChina 周二乱弹 —— 对!公交车绝缘体
  16. Powershell 免杀过 defender 火绒,附自动化工具
  17. [Usaco2008 Oct]Watering Hole 挖水井
  18. 高斯-克吕格投影与UTM投影
  19. SEM实验室里的灭菌法全在这里
  20. 完全二叉树的每层权值

热门文章

  1. 从程序员到项目经理(5):程序员加油站 -- 不是人人都懂的学习要点
  2. Azure Machine Learning Studio 实例 -- 预测xx年的食物工厂总数
  3. 网页字体单位px、em、%、rem、pt、vm、vh介绍
  4. 通常所说微型计算机中的奔3,《计算机应用基础》复习资料
  5. java如何获取一个对象的大小
  6. BurpSuite IP代理扩展(IPRotate_Burp_Extension)每次请求切换IP
  7. js - 在指定位置添加指定字符
  8. word中行与行间距大
  9. 基于改进人工蜂群算法的K均值聚类算法(Matlab代码实现)
  10. 西瓜书学习记录_绪言