原标题:本科 研究生《时域有限差分法(基于MATLAB)》

"

时域有限差分法(FDTD)是一种时域电磁算法,参数设置灵活,对复杂介质的模拟具有先天优势。该算法自1966年由Yee提出以来发展迅速,获得了广泛应用。FDTD方法将电场和磁场分别在空间和时间上交错采样,将麦克斯韦方程组转化为差分方程,表述十分简单,容易理解。但是在具体编程实现时,涉及多个维度、多种场量,处理起来非常繁琐。

本书采用MATLAB语言编程实现FDTD,充分利用MATLAB向量化编程的特点,将复杂的运算在尽量短的代码内完成,大大简化了编程。对于初学者,这是一本很好的入门教材; 对于已经具有一定基础的学者,本书也能够给予一定的参考。

本书共10章。

第1章主要介绍MATLAB的一些编程技巧。市面上已经有大量关于MATLAB的教材,本书不再详述,而是只挑选一些与本书的代码密切相关的内容进行讲解, 如向量化运算、维度拓展等。

第2章介绍电磁波基础理论。该章中的一些内容可作为理论基础,应用到后续章节的算法中; 另一些内容求出了典型问题的解析解,其结果可以作为验证算法的依据。

第3章介绍了FDTD的网格划分方法以及时间推进方法,讨论了空间和时间步长对仿真的影响。

第4章和第5章分别介绍了Mur吸收边界条件和完全匹配层(PML)吸收边界条件。研究开域问题时,由于计算机内存有限,只能计算有限区域的场,因此必须在截断边界处加以处理,吸收外向行波,以模拟无限大空间。

第6章介绍各种激励源的特点。

第7章介绍连接边界条件使用,通过连接边界入射波引入到总场区。

第8章介绍远场外推方法。在很多问题中,人们更关心的是远场情况,如计算RCS。FDTD的优势之一就是通过脉冲响应的傅立叶变换得到整个频域上的解,因此这一章以瞬态场的外推为主。

第9章介绍了色散介质的处理方法,包括递归卷积法、Z变换方法以及辅助微分方程法。

第10章介绍了周期边界的处理方法,以垂直入射情形为主,通过光子晶体、频率选择表面等算例对算法进行了验证。

责任编辑:

时域有限差分法matlab,本科 研究生《时域有限差分法(基于MATLAB)》相关推荐

  1. matlab 图片倒影_计算物理基于matlab方法研究水中倒影问题

    计算物理基于matlab方法研究水中倒影问题 基于 Matlab 方法研究水中倒影问题[摘 要] 本文介绍了用 matlab 研究倒影问题的方法,利用 matlab 可视化的优点可 以直观的得出结果. ...

  2. matlab噪音的消除办法,基于MATLAB的噪声消除方法.ppt

    基于MATLAB的噪声消除方法 基于MATLAB的噪声消除方法 答 辩 人 :徐 苏 美 指导教师:杨卫平教授 论文的指导思想 21世纪的社会是信息化社会,我们生活中的每一天都离不开数字信号.随着信息 ...

  3. matlab直流计算,一种基于MATLAB的直流开关振荡回路参数测量系统及计算方法与流程...

    本发明属于电力电子 技术领域: ,具体为一种基于MATLAB的直流开关振荡回路参数测量系统及计算方法. 背景技术: :近些年来,我国在高压直流输电技术的研究和应用上取得了突飞猛进的发展,目前已投运的高 ...

  4. 【MATLAB第58期】基于MATLAB的PCA-Kmeans、PCA-LVQ与BP神经网络分类预测模型对比

    [MATLAB第58期]基于MATLAB的PCA-Kmeans.PCA-LVQ与BP神经网络分类预测模型对比 一.数据介绍 基于UCI葡萄酒数据集进行葡萄酒分类及产地预测 共包含178组样本数据,来源 ...

  5. matlab计算频域动态性能指标,基于MATLAB自动控制系统时域频域分析与仿真.doc

    word文档 可编辑复制 word文档 可编辑复制 基于MATLAB的自动控制系统时域频域分析与仿真 摘 要 自动控制系统就是在无人直接操作或干预的条件下,通过控制装置使控制对象自动的按照给定的规律运 ...

  6. matlab关于噪声课设,基于matlab的有噪声的语音信号处理的课程设计.doc

    基于matlab的有噪声的语音信号处理的课程设计.doc DSP实验课程设计实验报告DSP实验课程设计实验报告姓名学号班级1课程设计题目基于MATLAB的有噪声的语音信号处理的课程设计.2课程设计的目 ...

  7. matlab高中必修三数学模拟,基于MATLAB的模拟调制实验报告

    <基于MATLAB的模拟调制实验报告>由会员分享,可在线阅读,更多相关<基于MATLAB的模拟调制实验报告(19页珍藏版)>请在金锄头文库上搜索. 1.基于 MATLAB 的模 ...

  8. 频域串联滞后校正matlab,自动控制原理实验七基于MATLAB控制系统频域法串联校正设计.doc...

    自动控制原理实验七基于MATLAB控制系统频域法串联校正设计.doc 实验七 基于MATLAB控制系统频域法串联校正设计 一.实验目的 (1)对给定系统设计满足频域或时域指标的串联校正装置: (2)掌 ...

  9. 基于matlab的音乐合成论文,基于matlab软件的音乐合成毕业论文.doc

    基于matlab软件的音乐合成毕业论文.doc 河北经贸大学毕业论文基于MATLAB软件的音乐合成专业名称电子信息工程班级201102学生姓名王雪指导老师章瑞平完成时间2015年5月河北经贸大学毕业论 ...

  10. 基于matlab的prony方法实现,基于MATLAB的Prony方法实现

    基于MATLAB的Prony方法实现 本文介绍了Prony方法在MATLAB中的实现和应用.首先叙述了Prony方 (本文共2页) 阅读全文>> 瞬时频率是信号重要的瞬时特征参数,由于其在 ...

最新文章

  1. 【Android】Activity生命周期(亲测)
  2. 互联网大厂面试,谈索引就直逼这些底层?难的是我不懂这些原理
  3. 【深入浅出Node.js系列十一】Node.js开发框架Express4.x
  4. 终极版Python学习教程:一篇文章讲清楚Python虚拟环境
  5. python-用Map, Filter, Reduce代替For循环
  6. tensorflow对应的cudnn、cuda版本
  7. 关于Redis与关系型数据的一些区别
  8. php webshell开源,[github开源]webshell连接器--Jeshell
  9. c++归并排序_合并排序法
  10. oracle 获取多个序列值,一次性获取多个oracle序列值问题
  11. 1000套精选微信小程序源码
  12. 使用feed,欢迎使用http://feed.feedsky.com/xu_fan_blog订阅
  13. 全国实时公交查询API接口
  14. win7网络里的计算机,win7看不到局域网计算机怎么办
  15. Java轻量级的插件框架PF4J的使用教程
  16. 如何更改Source Insight 4.0中Tab的宽度
  17. 利用scrapy采集酷狗音乐网站的音乐信息并保存本地MongoDB数据库
  18. .Net常用术语汇总
  19. 虚拟机登陆界面一直转圈
  20. 如何利用H5营销活动来实现活动目标?

热门文章

  1. 国内的一个不错的ftp搜索引擎
  2. 典型微型计算机控制系统的实例,微型计算机控制技术
  3. Confluence 空间附件(Attachments )宏
  4. 同花顺python_同花顺python
  5. 单体药店医药管理软件如何选择
  6. 关于IBM刀片服务器
  7. postgresql mysql数据类型_postgresql+java数据类型对照
  8. 暗黑2服务器映射,d2hackmap对应不同分辨率的存取坐标修改方法
  9. 标准Lena测试图像下载
  10. 彗星撞地球-Warez组织的经典力作(15G动画压缩成64Kb的那个,2004年的第一名)