源代码

module mux_4_1(a,b,c,d,s0,s1,s2,s3,y
);
input wire a,b,c,d,s0,s1,s2,s3;
output reg y;
always @(s0,s1,s2,s3)begincase({s0,s1,s2,s3})4'b0001:y<=a;4'b0010:y<=b;4'b0100:y<=c;4'b1000:y<=d;default:y<=1'b0;endcase
end
endmodule

信号连接图

【Verilog HDL】4选1数据选择器相关推荐

  1. Quartus II使用说明(Verilog HDL二选一数据选择器 )

    <可编程数字逻辑电路设计>课程中Quartus II软件的使用说明 VHDL和Verilog HDL不一样. 1.新建文件夹,里面包含5个子文件夹(doc/img/prj/rtl/test ...

  2. Verilog——74HC151八选一数据选择器并扩展为16选1数据选择器

    Verilog--74HC151八选一数据选择器并扩展为16选1数据选择器 74HC151的仿真 设计思路 采用行为级建模,根据74HC151八选一数据选择器的功能表编程即可. 代码实现 设计模块 / ...

  3. oracle选择器,使用verilog实现4选1数据选择器的几种方法

    第一种方法module mux( d1, d2, d3, d4, se1, se2, dout ); input d1; input d2; input d3; input d4; input se1 ...

  4. Verilog VHDL三种建模描述方式——2选1数据选择器

    标题Quartus II 标题 Verilog VHDL三种建模描述方式--2选1数据选择器 1,结构化描述方式: 是使用实例化低层次模块的方法,即调用其他已经定义过的低层次模块对整个电路的功能进行描 ...

  5. Verilog二选一数据选择器

    //二选一数据选择器module mux2_1(a0,a1,s,f) input a0,a1,s; output f;//默认是wire(线)变量 assign f=(s)?a1:a0;//assig ...

  6. FPGA(3)验证数字逻辑(与门、与非门、二选一数据选择器、2-4译码器、半加器、全加器)

    目录 一.验证与门 二.验证与非门 三.验证二选一数据选择器 四.验证2-4译码器 五.验证半加器 六.验证全加器 0.初始化定义 1.第一个半加器 2.第二个半加器 3.得到最终进位Co 代码 0决 ...

  7. VHDL四选一数据选择器和基本触发器的设计

    主要内容: 本设计主要是利用超高速硬件描述语言VHDL对四选一数据选择器和基本触发器电路进行编程实现. 四选一数据选择器应该具备的功能:在选择信号的作用下,从输入通道中选择某一个通道的数据作为输出. ...

  8. FPGA(1)--VHDL--6选1数据选择器

    文章目录 一.实验目的 二.实验内容 三.实验设计 四.实验结果及仿真 五.实验思考与总结 一.实验目的 掌握用VHDL语句进行组合电路设计的方法,并熟悉程序的编译.调试与波形图的仿真. 二.实验内容 ...

  9. 4片74151扩展为32选1数据选择器

    在做较为复杂的电路设计时, 比如设计过程包含对于5变量逻辑函数的实现, 此时若用大量的逻辑门来实现, 那无疑是耗时耗力的: 若直接用32选1数据选择器进行实现, 则只需对照真值表来接线即可, 这样免去 ...

  10. # Quartusll采用IF设计二选一数据选择器及仿真

    Quartusll采用IF设计二选一数据选择器及仿真 软件Quartusll9.1 没有软件的小可爱先来领取资源哈~~(9版本以后就不自带仿真) 链接:https://pan.baidu.com/s/ ...

最新文章

  1. Rabin-Karp ( 字符串匹配 )详解
  2. 路由器交换机命令总结
  3. tensorflow基础
  4. 堆栈被无意中修改导致程序挂掉
  5. POJ - 2195 Going Home(二分图最小权匹配+KM+思维建边/最小费用最大流)
  6. mysql使用某一列的内容赋值给另外一列,concat()函数
  7. 7.Python学习笔记:[字典]
  8. 动手实验:继承条件下的构造方法调用
  9. ZOJ 1610 Count the Colors
  10. java swing画三角形_如何使用Java Swing编写肮脏的渐变绘制边框
  11. [SQL]UNPIVOT 多個欄位
  12. java生产消费线程小例子
  13. 17、Flask实战第17天:Flask-cookie
  14. Tuxera NTFS使用教程:如何在特定挂载的卷禁用文件系统缓存
  15. 80ms 求解世上最难数独 —— DFS的灵活运用
  16. 月之暗面(树形dp)
  17. 虚拟化操作系统ESXi 6.7安装配置详细步骤
  18. NFT 的价值与法律风险
  19. L1-051 打折 (5 分)
  20. MTK平台驱动调试的资料

热门文章

  1. 数字图像处理-美图秀秀:大眼算法
  2. 编码的奥秘:电筒密谈
  3. Java后台开发常见官方网站汇总
  4. Tab栏切换效果的制作
  5. Win10安装CUDA
  6. 两款免费、好用的数据库连接工具
  7. 360网络修复大师_360补丁大师免安装下载-360补丁大师下载 v8.0 官方最新版
  8. UG NX 12 删除草图
  9. Echarts经纬度坐标实现地图定位
  10. NumPy的详细教程