第一种方法module mux( d1, d2, d3, d4, se1, se2, dout ); input d1; input d2; input d3; input d4; input se1; input se2;

output dout;

reg dout;

always @ (d1 or d2 or d3 or d4 or se1 or se2) case({se1,se2}) 2‘b00 : dout=d1; 2‘b01 : dout=d2; 2‘b10 : dout=d3; 2‘b11 : dout=d4; endcaseendmodule 第二种方法 module mux( d1, d2, d3, d4, se1, se2, dout ); input d1; input d2; input d3; input d4; input se1; input se2;

output dout;

reg dout;

always @ (d1 or d2 or d3 or d4 or se1 or se2) if (!se1) begin if (!se2) dout = d1; else dout = d2; end else begin if (!se2) dout = d3; else dout = d4; end

endmodule第三种方法module se( d1, d2, d3, d4, se1, se2, dout ); input d1; input d2; input d3; input d4; input se1; input se2;

output dout;

reg dout;

always @ (d1 or d2 or d3 or d4 or se1 or se2) assign dout=se1?se2?d4:d3:se2?d2:d1;endmodule

时间: 10-26

oracle选择器,使用verilog实现4选1数据选择器的几种方法相关推荐

  1. Verilog——74HC151八选一数据选择器并扩展为16选1数据选择器

    Verilog--74HC151八选一数据选择器并扩展为16选1数据选择器 74HC151的仿真 设计思路 采用行为级建模,根据74HC151八选一数据选择器的功能表编程即可. 代码实现 设计模块 / ...

  2. Quartus II使用说明(Verilog HDL二选一数据选择器 )

    <可编程数字逻辑电路设计>课程中Quartus II软件的使用说明 VHDL和Verilog HDL不一样. 1.新建文件夹,里面包含5个子文件夹(doc/img/prj/rtl/test ...

  3. oracle怎样统计多列是否重复,Oracle FORM Bulider 校验多行多列数据重复的一种方法...

    FORM Bulider开发过程中,有些界面在输入时,经常要判断输入的数据是否有重复. 本文介绍一种在多列多行校验数据的方法. 如下,完成界面上所有输入的工单不能重复的功能. 分析: 因为  FORM ...

  4. Verilog VHDL三种建模描述方式——2选1数据选择器

    标题Quartus II 标题 Verilog VHDL三种建模描述方式--2选1数据选择器 1,结构化描述方式: 是使用实例化低层次模块的方法,即调用其他已经定义过的低层次模块对整个电路的功能进行描 ...

  5. Verilog二选一数据选择器

    //二选一数据选择器module mux2_1(a0,a1,s,f) input a0,a1,s; output f;//默认是wire(线)变量 assign f=(s)?a1:a0;//assig ...

  6. FPGA(3)验证数字逻辑(与门、与非门、二选一数据选择器、2-4译码器、半加器、全加器)

    目录 一.验证与门 二.验证与非门 三.验证二选一数据选择器 四.验证2-4译码器 五.验证半加器 六.验证全加器 0.初始化定义 1.第一个半加器 2.第二个半加器 3.得到最终进位Co 代码 0决 ...

  7. VHDL四选一数据选择器和基本触发器的设计

    主要内容: 本设计主要是利用超高速硬件描述语言VHDL对四选一数据选择器和基本触发器电路进行编程实现. 四选一数据选择器应该具备的功能:在选择信号的作用下,从输入通道中选择某一个通道的数据作为输出. ...

  8. FPGA(1)--VHDL--6选1数据选择器

    文章目录 一.实验目的 二.实验内容 三.实验设计 四.实验结果及仿真 五.实验思考与总结 一.实验目的 掌握用VHDL语句进行组合电路设计的方法,并熟悉程序的编译.调试与波形图的仿真. 二.实验内容 ...

  9. 4片74151扩展为32选1数据选择器

    在做较为复杂的电路设计时, 比如设计过程包含对于5变量逻辑函数的实现, 此时若用大量的逻辑门来实现, 那无疑是耗时耗力的: 若直接用32选1数据选择器进行实现, 则只需对照真值表来接线即可, 这样免去 ...

最新文章

  1. Failed to fetch http://mirrors.cloud.aliyuncs.com/
  2. win10 vs2015 编译caffe2
  3. 基于深度学习的多目标跟踪算法(上):端到端的数据关联
  4. arcgis选出点规定范围的面
  5. apache gobblin mysql_incubator-gobblin-master
  6. POS打印机系列之 = 并口
  7. C/C++编译器教程之如何安装vs,看了的人应该都会装,只要不手残
  8. java inireader_用Java读取INI文件(带section的)
  9. XMind思维导图文件损坏,无法打开怎么办?
  10. C语言之父辞世引发“分号”悼念
  11. USB3.0 HUB方案之GL3520
  12. 基于ARM嵌入式系统的PC/104总线设计
  13. Finance reading list(Mar.2019,by Stephen Nie)
  14. 内容市场的2017年:五件大事,每件事都惊心动魄
  15. 杜兰大学计算机专业,杜兰大学计算机科学专业详解
  16. iptables 流量统计
  17. python模拟登录163邮箱_python selenium模拟登陆163邮箱。
  18. Pytorch使用GPU加速
  19. 【JAVASE】Java泛型实例化
  20. 蓝奏批量自定义域名替换源码

热门文章

  1. openmediavault安装OMV-Extras插件
  2. 微信小程序授权登录获取用户头像和昵称时得到微信用户,记录getUserInfo转变为getUserProfile的使用修改
  3. springBoot+dubbo整合Redis - 脚手架系列(三)
  4. 压力与情绪管理系统--减压训练、身心调节
  5. html在父窗口中打开新页面跳转,路由跳转、打开新窗口、跳转到新页面
  6. 打游戏的蓝牙耳机推荐哪一款?打游戏比较好的蓝牙耳机推荐
  7. Flutter-防京东商城项目-订单列表、订单详情页面布局-45
  8. 图的遍历——深度优先遍历与广度优先遍历
  9. Adam优化算法介绍
  10. 录音音频转文字免费软件有哪些?这几个音频转文字软件安利给你