一、

1.  Go to the menu Tools > Options. 
2.  In the “General” category, select “EDA Tool Options”. 
3.  A  dialogue  box  appears, where  you  can  specify  the  location  of  the Modelsim-
Altera executable. 
4.  Specify the path to the directory with the executable of Modelsim-Altera. 
5.  Click “OK”.

二、新建一个quartus源文件、testbench文件

1、工程文件

 1 module XGA
 2 (
 3     input clk,
 4     input rst_n
 5 );
 6 reg    [15:0]    count    ;
 7 always @(posedge clk)
 8 begin
 9     if(!rst_n)
10     begin
11         count <=    0    ;
12     end
13     else
14     begin
15         count    <=    count    +    1    ;
16     end
17 end
18 endmodule 

程序

2、仿真测试文件

保存名为test_bench并将代码

 1 module test_bench
 2 (
 3     output reg clk,
 4     output reg rst_n
 5 );
 6 initial
 7 begin
 8     clk=0;
 9     rst_n=0;
10     #100
11     rst_n=1;
12 end
13
14 always
15 begin
16     #10 clk=~clk;
17 end
18
19 XGA i1
20 (
21     .clk(clk),
22     .rst_n(rst_n)
23 );
24
25 endmodule 

bench

拷入其中

三、NativeLink Settings to configure Modelsim-Altera:

1.  Go to the menu Assignments > Settings.
2.  Under  “EDA  Tool  Settings”  choose  “Simulation”. 
simulation appears.
3.  For Tool Name, choose “Modelsim-Altera”.
4.  Select "verilog" as the "Format for Output Netlist"
5.  Select "simulation/modelsim" as the "Output Directory".

6.  Under NativeLink  Settings, Choose  "Compile  test  bench".  Then  click  on  "Test
Benches". 
7.  A new window appears, select "New".

选择文件  

添加成功

四、链接modelsim-altera

Using  the menu  “Tools”,  click  “Run EDA Simulation Tool”,  and  then  click
EDA Gate-level Simulation to automatically run the EDA simulator, compile
all necessary design files, and complete a simulation.

运行仿真。Tools>Run EDA Simulation Tool

其中,RTL Simulation是寄存器传输级仿真,它是理想化的仿真,不包含任何延时信息,通常用作功能检验,也称作前仿真或功能仿真;

Gate-Level Simulation是门级仿真,通常也称为后仿真或时序仿真,它包含逻辑电路、布局布线等延时信息,是对真实电路情况的仿真。要仿真门级仿真,需要在Fitter之后进行。

转载于:https://www.cnblogs.com/TFH-FPGA/p/3285451.html

modelsim-altera相关推荐

  1. QuartusII 13.0自带的Modelsim Altera 10.1d破解

    做FPGA用到ModelSim仿真,QuartusII 13.0调用发现"unable to check out a license .run the modelsim licensing ...

  2. Quartus调用Modelsim SE避免重复编译Altera器件库的方法

    最近用Quartus 15.0配合Modelsim SE 10.4的64位版本,简直就是闪电一般的仿真速度.但是众所周知,SE版本最大的问题就是每次由Quartus自动调用时,都要重新编译所使用的器件 ...

  3. Modelsim下时序(功能)仿真altera IP核 程序(重要)

    原文链接:http://blog.sina.com.cn/s/blog_3f8b06cc01015h2f.html 用Modelsim对Quartus II工程进行时序仿真(在此只讨论时序仿真)可分两 ...

  4. (原創) 如何使用ModelSim-Altera對Nios II仿真? (SOC) (Nios II) (SOPC Builder) (ModelSim) (DE2)...

    Abstract 在剛學習Nios II時,每次在Run As Nios II Hardware下方,看到Run As Nios II ModelSim就覺得很好奇,Nios II明明是嵌入式系統,怎 ...

  5. 数字电路基础与Quartus-II入门之——安装Quartus-II软件和Modelsim仿真软件

    录 一.相关资源下载 二.安装Quartus-II软件 2.1 Quartus-II的下载 2.2 Quartus-II的安装 2.3 Quartus-II的注册 三.Modelsim SE版本安装 ...

  6. Quartus ii和Modelsim SE联合仿真的问题总结

    ** 1. 如何导入quartus ii库 ** 1.设置工作路径 打开modelsim安装目录(我的modelsim安装在d:/modelsim目录下),新建文件夹altera.后面的步骤将在该目录 ...

  7. ModelSim 使用【一】介绍

     [注]本文为系列教程,使用同一个仿真代码,关注公众号"数字积木",对话框回复" modelsim_prj ",即可获得.这是系列第一篇. 1,ModelSim ...

  8. ModelSim入门

    verilog设计进阶 时间:2014年5月5日星期一 主要收获: 1.安装了ModelSim ALTERA  6.4a; 2.熟悉基本流程,仿真成功: 3.了解testbench语法基本. 为什么要 ...

  9. (译文)在Ubuntu 14.04上成功运行ModelSim-Altera Starter Edition 10.1d

    翻译来源:http://mattaw.blogspot.com/2014/05/making-modelsim-altera-starter-edition.html 在此对原文作者表示感谢和敬意! ...

  10. 基于or1200最小sopc系统搭建(一)--搭建及仿真(DE2,DE2-70)

    做一个or1200的最小系统,or1200+wishbone+ram+gpio,在DE2平台上实现读取SW的值然后再LEDR上显示出来的简单程序.我将记录一些主要的步骤. 在opencores上下载源 ...

最新文章

  1. CSS中Float概念相关文章
  2. 软件本地化,软件本地化公司
  3. mysql 编译cpu数量_合适MySQL的Xeon 55XX系列CPU编译参数
  4. 电脑摄像头一维条形码matlab识别
  5. bzoj 1041: [HAOI2008]圆上的整点
  6. 中国碳纤维复合加热元件行业市场供需与战略研究报告
  7. 图像坐标球面投影_比较常用的坐标几种投影
  8. 主机连接wifi,如何设置虚拟机上网方式
  9. 大并发服务器不得不说的技术--TCP_CORK
  10. 认识IT圈的这些人 你的路将走的更顺利
  11. Java小项目(三)---汽车租赁系统(面向对象)
  12. php-fpm 开机启动,mac系统,php-fpm加入开机启动项
  13. 美团O2O广告营销中的机器学习技术
  14. docker 启动rabbitmq 启动成功 但是访问被拒绝
  15. 苏云技术导航系统源码带后台
  16. 支付接口的API什么?SDK是什么?
  17. Android Framework开发大揭秘!从小白到大佬的进阶之路
  18. 渗透测试-Openssl心脏出血漏洞复现
  19. 为什么要使用代理IP,使用代理IP有什么好处?
  20. 去你X的上网行为监控系统

热门文章

  1. LeaFlet学习之GridLayer扩展
  2. Docker笔记一:基于Docker容器构建并运行 nginx + php + mysql ( mariadb ) 服务环境
  3. 开需求评审会,你会出汗吗?
  4. 在哪个瞬间你感觉自己是一个产品经理?
  5. 朋友圈 H5 进化简史
  6. ArcGIS 基础11-专题制图
  7. Realm数据库版本迁移
  8. 高层管理者对于大数据的6个误解
  9. 虚拟内存(VirtualAlloc),堆(HeapAlloc/malloc/new)和Memory Mapped File
  10. Neutron 架构 - 每天5分钟玩转 OpenStack(67)