1. 一个inout口代替了PAD的作用,有输入、输出、方向
Nand测试的时候,外部的Flash是封装好的。控制器(NFC)提供了

wire [7:0]     FlData_out;wire [7:0]     FlData_in ;wire             FlData_dir;  // '1'- output, '0' -input
wire [7:0]     FlData_out;wire [7:0]     FlData_in ;wire             FlData_dir;  // '1'- output, '0' -input

接下来声明虚拟的PAD

wire  [7:0]    inout_data; //相当于是pad

//NFC 输出

assign inout_data = FlData_dir ? FlData_out : 8'bz ; //这样一个pad只在需要的时候才驱动它,否则不去驱动,即赋值高阻assign FlData_in = inout_data; 

还有 要给 inout_data来 pullup, 接了高阻,那得说清楚到底是高阻接高还是高阻接低~~

pullup(inout_data[0]);...

-致谢老罗,参考了他原来SDIO测试的一个例子

转载于:https://www.cnblogs.com/azure_seu/archive/2010/04/06/1705376.html

inout口简单说明相关推荐

  1. 单片机并口应用实验c语言,1单片机并口简单应用(流水灯).docx

    试验1单片机并口简单应用(流水灯) 实验目的 1.了解单片机C语言程序的基本结构 2.了解单片机C语言程序的设计和调试方法 3.掌握顺序控制程序的简单编程 4.熟悉51单片机的端口使用 实验仪器 单片 ...

  2. 单片机原理与实践学习记录之51单片机I/O口简单应用

    单片机原理与实践学习记录 第二课(更新时间:2021.9.3) 51单片机I/O口简单应用 C51中常用的头文件 ​ 通常有reg51.h,reg52.h,math.h, ctype.h, stdio ...

  3. 模拟IO口简单呼吸灯程序

    定义一个0.1ms 的时钟周期性调用下面C函数 /*呼吸灯程序*/ void BreathingLamp(void) {static uint8_t LEDTimeCount=0;static uin ...

  4. [三态门原理]VHDL中的INOUT双向口使用

    在第一次VHDL实验中,要求实现下图所示的非常简单的逻辑功能: 由于VHDL中实现逻辑功能的语言与C语言类似,稍微学习一下VHDL的语法,很容易就写出了实现该逻辑功能的语句: IF S="0 ...

  5. Trunk口的简单实例

    Trunk口的简单实例 Trunk口允许多个vlan之间的通信,而access口只允许某个特定vlan的通信,通俗来说,trunk口是连接不同网络之间的通道,一般是交换机之间的连接.Access口是连 ...

  6. 基于modelsim-SE的简单仿真流程—上

    基于modelsim-SE的简单仿真流程 编写RTL功能代码 要进行功能仿真,首先得用需要仿真的模块,也就是RTL功能代码,简称待测试的模块,该模块也就是在设计下载到FPGA的电路.一个电路模块想要有 ...

  7. 三态门三个状态vhdl_[转帖]INOUT双向端口仿真 三态门构成 三态门原理 三态门仿真...

    INOUT双向端口仿真 三态门构成 三态门原理 三态门仿真 (2010-04-10 00:17:54) HDL语言的INOUT双向端口仿真暨三态门仿真 ------VHDL和VerilogHDL 概述 ...

  8. Verilog inout端口使用详解

    理解 来源特权同学-https://www.eefocus.com/ilove314/blog/11-09/231507_10e01.htmlinout用法浅析有感于之前IIC通信中第一次使用veri ...

  9. testbench inout端口处理

    转载自:点击打开链接 1 testbench 的技巧 1)如果激励中有一些重复的项目,可以考虑将这些语句编写成一个 task,这样会给书写和仿真带来很大方便.例如,     一个存储器的 testbe ...

最新文章

  1. SDN第二次上机作业
  2. HTML5中各种标签总结(列表标签、表格标签)
  3. 软硬件协同编程 - C#玩转CPU高速缓存(附示例)
  4. android 仿qq it蓝豹,《IT蓝豹》listview实现各种版面设计功能
  5. [WPF]WPF Data Virtualization和UI Virtualization
  6. Hadoop2 自己动手编译Hadoop的eclipse插件
  7. 快速突破面试算法之链表篇
  8. 免费的CRM真的免费吗
  9. 最新黑马内部视频+相关配套学习资料
  10. matlab参数摄动仿真,过程控制工程及仿真 基于MATLABSimulixnk_IT教程网
  11. taobao_seckill_anyi项目配置与运行main.py(7)【图文并茂的小白级教程】
  12. C#迭代器的详细用法
  13. iOS---学习研究大牛Git高星项目YYCategories(三)
  14. 国际战略中aaa模式_AAA游戏中的微交易将继续存在(但仍然很糟糕)
  15. C Primer Plus 第6版 中文版 勘误表
  16. SAP PP相关函数
  17. 吐血整理,20个计算机保研常见问题及回答模板
  18. python+selenium+webdriver 截取全页面长图
  19. 沈阳工程学院计算机专业现任校长,沈阳工程学院计算机
  20. EXCEL——排序sumproduct函数

热门文章

  1. 2020 年百度之星·程序设计大赛 - 初赛一 Dec 二维DP,预处理
  2. java a =a-=aa_java 初学 :求 s=a+aa+aaa+aaaa+aa...a 的值,其中 a 是一个数字。几个 数相加由键盘控制。...
  3. 如何修改html中字号大小,css怎么设置字体大小?
  4. rk修改launcher_RK launcher V 0.41 官方版
  5. vs怎么把文字超链接_怎么拥有自己设计的简单个人网站(超细节)
  6. telnet怎么算成功_有机肥发酵剂有的作用,怎么才算发酵成功?
  7. 根据需求进行批量新增
  8. p41_数据报与虚电路
  9. CodeForces - 722C Destroying Array (并查集/集合的插入和删除)
  10. [leetcode]5341. 最后 K 个数的乘积