本文在mininet的简单拓扑下,测试TCP和UDP的带宽,并用gnuplot作图。

首先,初始化mininet最小拓扑结构。

sudo mn

在mininet的CLI中,xterm打开h1和h2的终端

xterm h1 h2

在h2的终端中,将h2设为服务器server,设置端口为5566,间隔时间1s,并将结果保存到文件result中

iperf -s -p 5566 -i 1 > result

在h1的终端中,将h1作为客户机client,连接到h2(ip地址为10.0.0.2),目标端口为5566,连接时间默认为10秒,可通过参数-t指定参数。

iperf -c 10.0.0.2 -p 5566 

经过10秒后,在h2自动生成了文件result,存储了此次连接的信息。在h2终端中,查看result内容

cat result

结果如图所示:

将result中我们感兴趣的信息提取到新的文件new_result中

cat result | grep sec | head -10 | tr - " " | awk '{print $4,$8}' > new_result

查看new_result内容

cat new_result

结果如图所示,时间和对应的带宽信息已被提取到新文件中:

接下来使用gnuplot画图(如果你没有安装gnuplot,使用命令sudo apt-get install gnuplot-x11安装)

在h2终端中进入gnuplot

gnuplot

如图:

在gnuplot命令行中,将刚才得到的文件new_result画图

plot "new_result" title "tcp flow" with linespoints

将纵坐标范围改为40-60,添加横纵坐标标签,并重新作图

set yrang [40:60]set xlabel "time (sec)"set ylabel "tcp throughput (Mbps)"replot

大功告成!

在mininet中测试TCP、UDP带宽并作图相关推荐

  1. Qt中的TCP/UDP编程

    网络编程,OSI(开放式系统互联参考模型)七层参考模型:应用层.表示层.会话层.传输层.网络层.数据链路层.物理层.     套接字(Socket)是网络通信的基本构建模块,又分为流式套接字(Stre ...

  2. Python核心编程(第3版)第2章网络编程中关于tcp/udp服务器和客户端实现代码的运行出错的修正

    在Python核心编程(第3版)第2章网络编程中, 关于tcp/udp服务器和客户端实现代码的运行会出现 ['str' does not support the buffer interface]之类 ...

  3. Linux服务器上测试TCP/UDP端口的连通性

    目录 说明 测试tcp端口的连通性: 使用nc命令来测试udp端口的连通性: 使用nc命令来测试tcp端口的连通性: 使用案例如下: 说明 翻译自: How to Test Port[TCP/UDP] ...

  4. linux系统怎么测试udp端口通不通,怎么在Linux服务器上测试TCP/UDP端口的连通性?...

    翻译自: How to Test Port[TCP/UDP] Connectivity from a Linux Server (文档 ID 2212626.1) 适用于: Linux OS - Ve ...

  5. linux tcp header更改,Linux Netfilter中修改TCP/UDP Payload的方法

    来自linux-2.6.36/net/ipv4/netfilter/nf_nat_helper.c 注:该代码可以移植到ebtables中使用,但需要注意struct rtable *rt结构在ebt ...

  6. 网络编程基础socket 重要中:TCP/UDP/七层协议

    计算机网络的发展及基础网络概念 问题:网络到底是什么?计算机之间是如何通信的? 早期 : 联机 以太网 : 局域网与交换机 广播 主机之间"一对所有"的通讯模式,网络对其中每一台主 ...

  7. Linux 测试TCP/UDP端口

    linux中可以使用命令行工具nc,判断指定端口的TCP和UDP连接是否通畅 . 安装: yum install -y nc 常用命令: 检查UDP端口连接是否工作:nc -vuz {hostIP} ...

  8. Windows下测试tcp/udp端口是否打开

    简介 很多时候我们需要检测某台服务器的端口是否开放,当然网上也有很多在线检测的小工具,其实在本地电脑也是可以检测的.本文就介绍一下两种小办法. 一.tcping检测TCP端口是否开放 1.下载tcpi ...

  9. 移远 EC200S 模组(4G Cat.1 通信模组)AT指令测试 TCP/UDP 通信过程

    移远EC200S 4G Cat.1 模组 EC200S-CN 是移远通信推出的LTE Cat 1 无线通信模块,支持最大下行速率10Mbps 和最大上行速率5Mbps,具有超高的性价比. 同时在封装上 ...

  10. SIMCOM A7670 模组(4G Cat.1 通信模组)AT指令测试 TCP/UDP 通信过程

    SIMCOM A7670C 4G Cat.1 模组 SIMCOM A7670C 是一款支持多频段 LTE-TDD/LTE-FDD/GSM/GPRS/EDGE LTE CAT1 模块. 这款模组的特点如 ...

最新文章

  1. Django之初步实现登录功能,APP及ORM
  2. 卷积神经网络新手指南 2
  3. Eclipse工作空间还原到最初状态
  4. __len__的作用
  5. oracle定时任务可以备份么,Linux下Oracle设置定时任务备份数据库的教程
  6. 一步一步深入spring(1)--搭建和测试spring的开发环境
  7. ROS与navigation教程——基本导航调整指南
  8. 山石网科发布数据安全综合治理体系,覆盖数据全生命周期
  9. 不同格式的json解析
  10. uni 修改数据页面不重新渲染
  11. Delphi实现带有格式的Excel导出功能
  12. w ndows7浏览器网页,win7系统IE浏览器播放网页视频失败的解决方法
  13. 中国城市供水统计年鉴
  14. VS2008下 天龙客户端编译
  15. 前端 - bootstrap树形菜单
  16. 秒懂云通信:如何使用阿里云号码认证服务(小白指南)
  17. 【QCM2150】WCN3680 WPA3 R3 4.2.3.17测试失败及问题解决方案
  18. 天池竞赛 | 中医药领域的问题生成冠军方案
  19. 《中国人工智能系列白皮书——智能驾驶》精编
  20. 零基础学Docker【3】 | 一文带你快速进行Docker实战

热门文章

  1. VMWARE:NAT模式连接外网
  2. android studio for android learning (十九 ) 最新Handler消息传递机制全解
  3. 计算机组成原理完整学习笔记(四):输入输出系统
  4. 279.完全平方数(力扣leetcode) 博主可答疑该问题
  5. 穿越迷宫的函数c语言,数据结构课外实践题库(26页)-原创力文档
  6. 医学图像之DICOM格式解析
  7. 使用Xcode打包上传APP
  8. 学习总结 java 父子级
  9. 画图解释 SQL join 语句
  10. Hibernate读书笔记-----事件机制