这篇文章解决两个问题:

1、多版本安装Vivado,强制切换工程文件打开的默认版本;

2、卸载安装Vivado导致工程文件没有和Vivado关联。

解决方法:

主要三个步骤:

打开注册表,找到HKEY_CLASSES_ROOT

找到.xpr,其中有一个Vivado.Project.1,查找Vivado.Project.1文件夹

修改.xpr文件的默认打开Vivado的版本

一、打开注册表,找到HKEY_CLASSES_ROOT

Win+R打开运行,输入框中输入“regedit”,选择“确定”,搜索HKEY_CLASSES_ROOT,具体目录如下:计算机\HKEY_CLASSES_ROOT\Vivado.Project.1

二、

找到.xpr,其中有一个Vivado.Project.1,查找Vivado.Project.1文件夹

修改DefaultIcon文件夹的默认值。这个值是关联工程文件的logo,修改新版本或者想要版本目录,参考值如下:

修改.xpr文件的默认打开Vivado的版本

这个设置格式不能修改,可以修改目录,设置格式参考如下:

C:\\Xilinx\Vivado\2018.3\bin\unwrapped\win64.o\vvgl.exe C:\\Xilinx\Vivado\2018.3\bin\vivado.bat "%1"

第一个“vvgl.exe”目录位置,空格,”vivado.bat”目录位置,空格, "%1"

上诉格式不能修改。

通过上面几个步骤就可以完成目标。

NOW

学习Xilinx FPGA最好的资料其实就是官方手册,下表总结了部分手册的主要介绍内容,关注我,持续更新中......

文件名 主标题 内容简单介绍 是否有中文版
UG476 7 Series FPGAs GTX/GTH  Transceivers GTX和GTH介绍,PCIe、serdes等学习必备
UG471 7 Series FPGAs SelectIO Resources 描述 7 系列 FPGA 中可用的 SelectIO资源。
UG1114 PetaLinux Tools Documentaton PetaLinux 工具文档 参考指南 是,V2019.2
UG949 UltraFAST 设计方法指南(适用于 Vivado  Design Suite) 赛灵思® UltraFast™  设计方法是用于为当今器件优化设计进程的一套最佳实践。这些设计的规模与复杂性需要执行特定的步骤与设计任务,从而确保设计每一个阶段的成功开展。依照这些步骤,并遵循最佳实践,将帮助您以最快的速度和最高的效率实现期望的设计目标 是,V2018.1
IP手册 pg057 FIFO Generator FIFO生成器IP使用手册
pg104 Complex Multiplier 复数乘法器IP使用手册
pg122 RAM-Based Shift Register  移位寄存器IP使用手册

推荐阅读

【Vivado那些事】如何查找官网例程及如何使用官网例程

【Vivado使用误区与进阶】总结篇

【Vivado那些事】Vivado中常用的快捷键(二)其他常用快捷键

SystemVerilog数字系统设计_夏宇闻 PDF

图书推荐|ARM Cortex-M0 全可编程SoC原理及实现

简谈:如何学习FPGA

1202年了,还在使用虚拟机吗?Win10安装Ubuntu子系统及图形化界面详细教程

Github 上有哪些优秀的 VHDL/Verilog/FPGA 项目

AD936x+ZYNQ搭建收音机(一)

AD936x+ZYNQ搭建OpenWIFI

无招胜有招-Vivado非工程模式下的详细设计

面试中经常会遇到的FPGA基本概念,你会几个?

Xilinx FPGA MIPI 接口简单说明

Vivado ML(机器学习) 2021尝鲜

推荐一些可以获取免费的国外的原版书籍(电子版)网站

【Vivado那些事】FPGA的配置方式

FPGA 的重构

浅析FPGA局部动态可重构技术

ISP(图像信号处理)算法概述、工作原理、架构、处理流程

国产CPU概括

从电子游戏历史看IC发展的助推剂

80年代电子游戏及电脑游戏的发展历史

PCIe总线的基础知识

万字长文带你回顾电子游戏的七十多年历史(完整版)

FPGA中异步复位,同步释放的理解

OpenFPGA系列文章总结

用Verilog设计一个16 位 RISC 处理器

介绍一些新手入门FPGA的优秀网站(新增)

Verilog数字系统基础设计-CR

FPGA 的布局规划艺术

Verilog数字系统基础设计-奇偶校验

建立和保持时间及时序简单理解

(Xilinx)FPGA中LVDS差分高速传输的实现

Xilinx Multiboot实例演示

高速串行通信常用的编码方式-8b/10b编码/解码
Verilog计时(微秒、毫秒和秒)脉冲的产生及同步整形电路

再说System Verilog 与 Verilog 的关系

图书推荐|一本图像/视频处理的强大工具书

Verilog HDL-同步技术

再说System Verilog 与 Verilog 的关系

数模混合信号建模语言Verilog-AMS

数字系统重要指标-吞吐率和时延

Verilog数字系统基础设计-数据转换器

【Vivado那些事儿】强制修改打开Vivado工程使用的Vivado版本相关推荐

  1. 【Vivado那些事儿】Vivado 增量综合流程

    [Vivado那些事儿]Vivado 增量综合流程 从 Vivado 2019.1 版本开始,Vivado 综合引擎就已经可以支持增量流程了.这使用户能够在设计变化较小时减少总的综合运行时间. Viv ...

  2. Vivado无法双击打开xpr工程文件的解决办法

    Vivado无法双击打开xpr工程文件的解决办法 之前安装的Vivado 2018.3,最近装了Vivado 2020.2,然后就没法双击打开xpr工程文件了,提示如下: Cannot locate ...

  3. 解决新版本Vivado打开老工程IP锁住的问题

    解决新版本Vivado打开老工程IP锁住的问题 参考文章: (1)解决新版本Vivado打开老工程IP锁住的问题 (2)https://www.cnblogs.com/chensimin1990/p/ ...

  4. 双击vivado 显示你要以何方式打开.xpr文件或者Vivado无法双击打开xpr工程

    摘要:两种方法解决Vivado无法直接双击打开xpr工程: 1. 问题 比如安装了其他版本的vivado,就不能直接打开原来的vivado了 2. 解决办法 快捷键Win + R打开运行,输入rege ...

  5. 【Vivado那些事儿】VIVADO中时序报告中WNS,WHS,TNS,THS含义

    VIVADO中时序报告中WNS,WHS,TNS,THS含义 运行"report_timing"或"report_timing_summary"命令后,会注意到 ...

  6. 【Vivado那些事儿】在不重新安装 Vivado 的情况下,是否能够安装 Xilinx USB/Digilent 线缆驱动器?...

    Q: 如果 Xilinx USB/Digilent 线缆驱动器在安装 Vivado 设计套件时还没有安装,或者 Xilinx USB/Digilent 线缆驱动器被禁用,在不全面重新安装 Vivado ...

  7. FPGA开发第一弹:Vivado软件安装、开发使用与工程建立

    FPGA开发第一弹:Vivado软件安装.开发使用与工程建立 文章目录 FPGA开发第一弹:Vivado软件安装.开发使用与工程建立 软件安装 工程建立(软件使用) 新建工程 设计输入 功能仿真 创建 ...

  8. edge浏览器打开html文件路径被拆分,Win10默认浏览器被强制修改为Edge的两种解决方法...

    升级win10系统后内置Edge,用户往往使用不习惯,选择IE为默认浏览器,但是安装后一段时间后Win10默认浏览器突然被强制修改为Edge,任何网页都是用Edge浏览器打开,怎么办?针对此疑问,系统 ...

  9. 【Vivado那些事儿】Vivado中运行时出现visual c++运行错误的解决办法

    Vivado中运行时出现visual c++运行错误的解决办法 Win10系统中运行Vivado一直好好的,某一天突然出现visual c++运行错误,如下图所示: 修复也没什么作用,卸载重装是同样的 ...

最新文章

  1. Altium Designer飞线(未连接线)不显示的解决方法
  2. 增强QQ空间的统计功能
  3. LambdaMART的思想
  4. C#与Unity 数据存储
  5. 一堂儿童科学实验课引起的思考:数学和化学有什么关系?
  6. java的编译器怎么出来_怎样掌握ava编译器的使用,教程在这里,如何进行Java初级学习...
  7. springboot_通过Actuator了解应用程序运行时的内部状况
  8. EMNLP2021 “Transformer+预训练”再下一城,港科大开源高效的多模态摘要总结网络...
  9. java中calendarr_Java端获取当前时间的前12个小时
  10. IDEA 在头注解上添加用户名称和时间
  11. Windows server常见操作、问题
  12. java day15 【Map】
  13. 开培训会没人来,是正常的
  14. 针式PKM V5.78
  15. FreeRTOS基础教程第一章创建任务
  16. 51单片机8位数码管计时器(汇编语言)
  17. 异数OS 织梦师-水桶(三)-- RAM共享存储方案
  18. 原型图都可以用什么软件做?分享这9款给你
  19. 怎么把两个PDF文件合并一起
  20. 【本人秃顶程序员】Java面试题集(意思意思)

热门文章

  1. 实用科普|推荐收藏:我的车,到底该选什么功率充电桩?
  2. 双软企业两免三减半政策
  3. 亚太数字经济发展联盟 助力民营企业进入数字经济新时代
  4. 郭天祥写的《我的大学》
  5. 真正好用的mac版免费OCR文字识别转换工具
  6. preg_replace() 正则替换所有符合条件的字符串
  7. QML之Canvas实现标尺(刻度尺)方案
  8. 成功WEB商务的7步走(7)——PDCA
  9. 英雄算法联盟---五月集训总结
  10. 用户冷启动问题现存解决办法汇总