影像行业是一个值得深耕的方向,废话不多说

先看输入和输出

输入是光照,输出是光照的数字信号

image area:说的是感光矩阵,CMOS图像传感器的最核心部分,接收光照产生电信号的部分。决定了图像质量的好坏
矩阵就会行列,就会有行列相关的控制部分。colcmn、rom

AMP:是一个放大器,放大来自感光矩阵的模拟信号。感光矩阵的信号是模拟的所以也会同比例的放大噪声信号。AMP是一把双刃剑,放大的信号的同时会引入跟多的噪声,降低信噪比。信噪比是图像传感器永恒的主题,几乎所有的优化都是围绕信噪比。

10-BIT ADC:模拟数字转换器,经过AMP放大的模拟信号通过ADC转换为数字信号。数字信号后的数据称为RAW,每个像素的细节呈现和ADC深度关系最大。

到这里影像传感器的原始信号采集已经完成,通过引脚接口输送给外部器件进行图像数据处理即可。但是在OV5640 上额外的集成了一些附加功能

ISP:附加模块,高度定制化的图像处理器,使用各种寄存器控制图像参数处理ADC的RAW数据。
能够提供相当多的图像处理功能。这样做的目的是省去了后端图像处理设备的开销,OV5640可以直接提供可供使用的图像。
打一个比方,我想吃烧鸭,那么我去菜场买一只屠宰好的鸭子买上配菜调料回家花几个小时做一盘烧鸭。屠宰好的鸭子就是RAW。最后盘子里面的烧鸭是最后要的图像。烹饪的过程就是图像处理。当然,我可以直接去卤味店买一只烧鸭,多省事。这个额外的ISP就是卤味店做的事情。这样就相对好理解这个ISP的作用。
ISP包括图像处理压缩,格式转换。
FIFO:这部分也是卤味店的工作,提供一个缓存储存数据。
接口:DVP/MIMP。特定的接口,用于传输影像数据。

下面看一下接口
XVCLK : H11 XVCLK input system input clock
系统时钟输入引脚, 图像传感器作为一个芯片就会有时钟输入。就像MCU,SOC的晶振输入一样。
PLL主要是把XVCLK输入的时钟变成内部需要的频率。

PWND:D1 PWDN input power down (active high with internal pull-down resistor)
高有效(内部接了下拉电阻)。有效的时候sensor出于power down状态

RESETB :E2 RESETB input reset (active low with internal pull-up resistor)

FREX :F2 FREX I/O frame exposure / mechanical shutter
所有图像传感器上的像素需要打开时间窗口才能接受光照,就像把杯子的盖打开才能注水到杯子里面。
这个信号控制所有像素的感光时间窗口。也就是一帧FRAME。

PCLK : I1 PCLK I/O DVP PCLK output
DVP接口数据传输的同步时钟。

HREF ,VSYNC :详细看DVP时序。

SICO,SIDO : OV公司自己的控制命令发送总线和IIC几乎一样。

整个框图部分到此结束,传感器是一个很复杂的器件,对之抱有敬畏之心。

OV5650 是一个带有ISP的图像传感器,大部分接口都通过寄存器的方式开放出来。所以要使用自带ISP免不了去看那庞大的寄存器设置。

DVP时序


DVP 时序起始也很简单,如果接触过VAG,LCD,HDMI类似图像显示驱动,基本上看一眼就能知道怎么回事。

VSYNC:帧同步信号。表示一副完成的图像传输开始和结束。
HSYNC:行同步信号,表示一帧图像当中一行的数据传输开始和结束。
HREF :表示数据传输有效。
D][9:0] :数据传输线。

XILINX FPGA OV5640 摄像头驱动(一)相关推荐

  1. 基于intel(altera)FPGA OV5640摄像头 图像采集系统(完整代码)

    此项目一共分为摄像头配置模块,图像采集模块,异步FIFO控制模块,SDRAM控制模块,SDRAM端口模块,VGA显示模块. 摄像头配置模块 直接采用IIC接口对摄像头进行配置:模块分化:IIC端口模块 ...

  2. linux摄像头 自动对焦,基于H3在Linux下驱动OV5640摄像头的方法与流程

    技术特征: 1.基于H3在Linux下驱动OV5640摄像头的方法,其特征在于,包括如下步骤: S1打开OV5640摄像头所对应的设备文件/dev/videoX: S2为OV5640摄像头驱动添加读写 ...

  3. FPGA USB FX2 ov5640摄像头视频采集 驱动CY7C68013A实现 提供2套工程源码和技术支持

    目录 1.前言 2.我这儿已有的 FPGA USB 通信方案 3.CY7C68013A芯片解读和硬件设计 FX2 简介 SlaveFIFO模式及其配置 4.工程详细设计方案 5.vivado工程 6. ...

  4. FPGA利用SCCB协议配置OV5640摄像头

    FPGA利用SCCB协议配置OV5640摄像头 为什么要配置摄像头 配置代码 测试代码 总结 为什么要配置摄像头 OV5640摄像头有许多工作模式,如可以工作在1080P,720P,480P分辨率的情 ...

  5. 【正点原子FPGA连载】第四十一章OV5640摄像头RGB-LCD显示实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1

    1)实验平台:正点原子新起点V2开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=609758951113 2)全套实验源码+手册+视频下载地址:ht ...

  6. 【正点原子FPGA连载】 第三十章双目OV5640摄像头LCD显示实验 摘自【正点原子】DFZU2EG_4EV MPSoC之嵌入式Vitis开发指南

    1)实验平台:正点原子MPSoC开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=692450874670 3)全套实验源码+手册+视频下载地址: h ...

  7. 【正点原子FPGA连载】第四十九章OV5640摄像头HDMI灰度显示实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1

    1)实验平台:正点原子新起点V2开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=609758951113 2)全套实验源码+手册+视频下载地址:ht ...

  8. 【正点原子FPGA连载】 第三十五章双目OV5640摄像头HDMI显示实验 摘自【正点原子】DFZU2EG/4EV MPSoC 之FPGA开发指南V1.0

    1)实验平台:正点原子MPSoC开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=692450874670 3)全套实验源码+手册+视频下载地址: h ...

  9. 【正点原子FPGA连载】第五十六章 双目OV5640摄像头HDMI显示实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1

    1)实验平台:正点原子新起点V2开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=609758951113 3)全套实验源码+手册+视频下载地址:ht ...

最新文章

  1. c#直接调用ssis包实现Sql Server的数据导入功能
  2. R语言广义线性模型函数GLM、(Model fit and regression diagnostics)、模型充分性评估方法、使用plot函数以及car包函数
  3. 图元变形lisp源码_AutoLISP入门6---图元资料的取得与活用技巧(一).pdf
  4. 10 门必修的机器学习名校公开课,旨在完善你的 AI 学习路线!
  5. python乐观锁和悲观锁
  6. 温故知新,.Net Core遇见Blazor(FluentUI),属于未来的SPA框架
  7. Java核心(五)深入理解BIO、NIO、AIO
  8. 杭电oj1257最少拦截系统(贪心)
  9. 熊出没之奇幻空间里面的机器人图片_武汉欢乐谷奇幻灯光节12月24日盛大开幕...
  10. centos8 默认nginx路径_centos8自定义目录安装nginx(教程详解)
  11. 电脑更新重启后黑屏_电脑黑屏重启还是黑屏的解决方法教程
  12. 普林斯顿微积分读本篇十七:数列和级数,泰勒定理
  13. python二进制转十进制编程_怎么用python二进制转换十进制
  14. 如何快速获取设备ip地址
  15. ODrive0.5.1程序分析#4 闭环控制程序(run_closed_loop_control_loop)
  16. 【Shell】Shell脚本中调用另一个脚本的三种方式(fork/exec/source)
  17. 铁路 信号组调工 技师 练习题 01
  18. python算三角形面积怎么样保留两位小数_通过坐标计算三角形面积
  19. 《IT项目经理进阶之道》简介
  20. Storm DRPC 使用及访问C++ Bolt问题的解决方法

热门文章

  1. 数据库系统—实体联系模型
  2. Linux上可用的Markdown编辑器
  3. 区块链软件公司:区块链到底能做啥?
  4. ubuntu 搜狗输入法异常
  5. 本地项目与Git项目关联
  6. 赛灵思运行linux,玩转赛灵思Zedboard开发板(6):如何在Zedboard上运行linux下的应用程序?...
  7. JavaScript制作的时钟
  8. 20150317 实习之——余世维视频(上)
  9. npm 如何更新项目最新依赖包
  10. 大计基笔记(1)数学运算