目录

天线基础

1.1 电基本振子的辐射场

1. 近区场

2. 远区场

1.2 天线的性能参数

1. 方向图

2. 辐射强度

3.方向性系数

4. 效率

5. 增益

6. 输入阻抗

7. 天线的极化

HFSS天线设计流程

2.1 HFSS天线设计流程概述

1.设置求解类型

2.创建天线的结构模型

3.设置边界条件【Assign Boundary】

4. 设置激励条件

5. 设置求解参数

6. 运行求解分析

7. 查看求解结果

8. Optimetrics优化设计

天线基础

天线的任务是将导行波变换为向空间定向辐射的电磁波,或将在空间传播的电磁波变为微波设备中的导行波,因此天线有两个基本作用:一个是有效地辐射或接收电磁波,另一个是把无线电波能量转换为导行波能量。

天线是发射和接收电磁波的一个重要的无线电设备。

天线辐射的原理:当导线上有交变电流通过时,就可以发生电磁波的辐射,辐射的能力与导线的长度和形状有关。若两条导线距离很近,电场被束缚在两条导线之间,那么辐射很微弱。若将两条导线张开,电场就散播在周围的空间内,那么辐射增强。当导线的长度L远小于波长λ时,辐射很微弱;当导线的长度L增大到可与波长λ相比拟时,导线上的电流将大大增加,因而就能形成较强的辐射。

辐射的基本单元有电基本振子和磁基本振子。

1.1 电基本振子的辐射场

电基本振子又称电流元或者电偶极子,它是一段高频电流直导线,其长度dl<<λ,其截面半径a<<dl,导线上的电流处处等幅同相。

E为电场强度,单位V/m;H为磁场强度,单位为A/m;下标γ、θ、φ分别表示球坐标系的各个方向分量;ε0为自由空间的介电常数,ε0=1*10-9/36π,单位为F/m;μ0=4π*10-7,单位为H/m;k是自由空间相位常数,k=2π/λ=ω,λ是自然空间波长。

1. 近区场

Kr<<1的区域为近区

近区电场与磁场存在的相位差,于是玻印廷矢量(电磁场中的能流密度矢量)的平均值为:

由于能量在电场和磁场以及场和源之间交换而没有能量辐射,因此近区场也称为感应场。

2. 远区场

Kr>>1的区域为近区

在远区内,电场只有Eθ分量,磁场只有Hφ分量,且电场和磁场的相位相同。此时,坡印廷矢量的平均值为:

由于磁场波沿着球坐标系的r方向向外辐射,因此远区场也称为辐射场。

对于电基本振子的辐射场而言,电场、磁场和传播方向三者相互垂直,在传播方向上电场和磁场的分量为零,故称为横电磁波,即TEM波。而且,电场分量E和磁场分量H的比值为常数,我们将其称为媒质的波阻抗。对于自由空间而言,煤质的波阻抗为:

1.2 天线的性能参数

1. 方向图

天线的辐射场在固定距离上随球坐标系的角坐标(ϑ,φ)分布的图形被称为天线的辐射方向图或辐射波瓣图,简称方向图。

按半功率电平点夹角定义的波束宽度称为半功率波束宽度(HPBW)或者-3dB波束宽度;按主瓣两侧第一个零点夹角定义的波束宽度称为第一零点波束宽度(FNBW),这两个波束宽度都是重要的方向图参数。

2. 辐射强度

每单位立体角内由天线辐射出的功率称为辐射强度U,单位W/Sr(瓦/立方弧度)。辐射强度可以由下式定义:

可见,与波印廷幅值S反比于距离的平方不同,辐射强度U与距离无关。

3.方向性系数

天线的方向性系数D是在指远区场的某一球面上天线的辐射强度与平均辐射强度之比,即:

式中,平均辐射强度U0实际上是辐射功率除以球面积,即:

通常所说的方向性系数指的都是在最大辐射方向上的方向性系数,即:

4. 效率

由于天线系统中存在导体损耗、介质损耗等,因此实际辐射到空间内的电磁波功率要比发射机输送到天线的功率要小。天线效率就是表征天线将输入高频能量转换成无线电波能量的有效程度,定义为天线辐射功率和输入功率的比值。假设分别用Pin和Prad表示天线的输入功率和辐射功率,则天线功率为:

5. 增益

方向性系数是以辐射功率为基点的,没有考虑天线将输入功率转换为辐射功率的效率,为了更完整地描述天线的特性,特以天线的输入功率为基点定义了一个增益。天线增益是表征将输入给它的功率按特定方向辐射的能力,定义为在相同输入功率、相同距离的条件下,天线在最大辐射方向上的功率密度与无方向性天线在该方向上的辐射功率密度的比值。天线增益G可以由下式计算:

且考虑Pin=Pin0,可以得到:

G=ηAD

6. 输入阻抗

天线一般都是通过馈线和发射机相连的,天线和馈线的连接处称为天线的输入端,天线的输入端呈现的阻抗值定义为天线的输入阻抗。

天线作为发射机的负载,它把从发射机得到的功率辐射到空间。这就有一个天线与馈线阻抗匹配的问题,阻抗匹配的程度将直接影响功率传输的效率。在射频微波频段,馈线通常是使用50Ω标准阻抗。所以在设计天线时,需要尽可能地把天线的输入阻抗设计在50Ω,在工作频带内保证尽可能小的驻波比。

驻波比为馈线上波腹/谷电压。驻波比=1,完全匹配;驻波比=∞,全反射。

7. 天线的极化

天线的极化通常是指天线辐射电磁波的电场的方向,即时变电场矢量端点运动轨迹的形状、取向和旋转方向。根据电场矢量端点轨迹的形状的不同,包括直线、椭圆和圆形,天线极化可分为线极化、椭圆极化和圆极化。

E1为沿x轴方向的线极化波幅度,E2为沿y轴方向的线极化波幅度,δ为Ey滞后于Ex的相位角。

若E1=0,则波是沿y轴方向极化的;若E2=0,则波是沿x轴方向极化的。若δ=0且E1=E2,则如图的OA轴方向上线极化的。

若E1= E2且δ=±90°,则波是圆极化的。当δ=±90°时,波是左旋圆极化的;当δ=-90°时,波是右旋圆极化的。

轴比(Axial Ratio)是一个表征天线极化的参数,其定义为极化椭圆的长轴和短轴的比值。对于线极化波,轴比为无穷大;对于圆极化波,轴比等于1。

HFSS天线设计流程

作为一款功能强大的三维电磁设计软件,HFSS可以位天线设计提供全面的解决方案。使用HFSS可以仿真分析和优化各类天线,精确计算天线的各种性能,包括二维、三维远场和近场辐射方向图、天线的方向性系数、增益、轴比、半功率波瓣宽度、输入阻抗、电压驻波比、S参数以及电流分布特性等

2.1 HFSS天线设计流程概述

1.设置求解类型

共有三种求解类型,分别是模式驱动求解(Driven Modal)、终端驱动求解(Driven Terminal)和本征求解(Eigenmode)。使用HFSS进行天线设计时,可以选择模式驱动求解类型(Driven Modal)或者终端驱动求解类型(Driven Terminal)。模式驱动求解类型是根据导波模式的入射和反射功率来计算S参数矩阵的解,终端驱动求解类型是根据传输线终端的电压和电流来计算S参数矩阵的解。

2.创建天线的结构模型

根据天线的初始尺寸和结构,在HFSS模型窗口中创建出天线的HFSS参数化设计模型。另外HFSS也可以直接导入AutoCAD、Pro/E等第三方软件创建的结构模型。

3.设置边界条件【Assign Boundary】

在HFSS中,导体结构一般设定为理想导体边界条件(PrefectE)或者有限导体边界条件。使用HFSS设计天线时,还必须在辐射体的外侧正确设置辐射便捷条件或则理想匹配层(PMI)边界条件,这样HFSS才可以计算天线的远场区。

HFSS中定义了许多种边界条件类型,分别是理想导体边界条件(Perfect E)、理想磁边界条件(Perfect H)、有限导体边界条件(Finite Conductivity)、辐射边界条件(Radiation)、对称边界条件(Symmetry)、阻抗边界条件(Impedance)、集总RLC边界条件(Lumped RLC)、无限地平面(Infinite Ground Plane)、主从边界条件(Master and Slave)、理想匹配层(PML)和分层阻抗边界条件(Layered Impedance)。天线设计中,最常用的边界条件是理想导体边界条件(Perfect E)、有限导体边界条件Finite Conductivity)、辐射边界条件(Radiation)和理想匹配层(PML)。

a. 理想导体边界条件

在HFSS中,任何与背景相关联的物体表面以及材质为理想电导体(Pec)的物体表面都会被自动设置为理想导体边界。这种边界条件的电场矢量(E-Field)垂直于物体表面。

b. 有限导体边界条件

实际天线结构的导体部分通常都是使用良导体,如金属铜。使用有限导体边界,可以实现把一个平面的边界条件设置为金属铜、金属铝等良导体。

c. 辐射边界条件

辐射边界条件也称为吸收边界条件(Absorbing Boundary Condition, ABC),用于模拟开放的有限空间。系统在辐射边界处吸收了电磁波,本质上可以把边界看成是延伸到空间无限远。

在使用HFSS进行天线设计时,必须定义辐射边界条件或者理想匹配层,用以模拟开放的自由空间。在设计中只有定义了辐射边界条件或者辐射匹配层,软件才会自动分析计算天线的远区场。

使用辐射边界条件作为自由空间的近似,这种近似的准确程度取决于波的传播方向和辐射边界表面之间的态度,以及辐射体与边界表面之间的距离。若用θ表示波的传播方向和辐射边界表面的之间的角度,当波的传播方向与辐射边界表面正交,即θ=0°时,电磁能量几乎全部被边界吸收,反射系数最小,此时,仿真计算结果最准确;当波的传播方向与辐射边界表面平行,即θ=90°时,电磁能量几乎全部被辐射边界反射回去,此时仿真计算结果的准确度最差。当辐射边界和偶极子天线之间的距离大于λ/4时,回波损耗S11分析结果基本一致,不再有大的波动。

d. 理想匹配层(PML

在天线设计中,除了可以使用辐射边界条件来模拟开放的自由空间之外,也可以选择使用理想匹配层来模拟开放的自由空间。

理想匹配层(Perfectly Matched Layers, PMI)是能够完全吸收入射电磁波的假想的各项异性材料边界,其有两种典型的应用,一是用于外场问题中的自由空间截断,二是用于导波问题中的吸收负载。对于自由空间截断的情况,PML表面能够完全吸收入射来的电磁波,其作用类似于辐射边界条件。和辐射边界条件相比,理想匹配层由于能够完全吸收入射的电磁波,零反射,因此计算结果更精确。另外,理想匹配层表面可以距离辐射体更近,差不多1/10个波长即可,而辐射边界条件和辐射体之间的距离一般需要大于1/4个工作波长。

4. 设置激励条件

在HFSS中,激励是一种定义在三维物体表面或者二维平面物体上的激励源,这种激励源可以是电磁场、电压源、电流源或者电荷源。HFSS中定义了多种激励方式,主要有波端口激励(Wave Port)、集总端口激励(Lumped Port)、Floquet端口激励(Floquet Port)、入射波激励(Incident Wave)、电压源激励(Voltage Source)、电流源激励(Current Source)和磁偏置激励(Magnetic Bias)。

天线必须通过传输线或者波导传输信号,天线与传输线或者波导的连接处即为馈电面或者称为激励端口。天线设计中馈电面的激励方式主要有两种,分别是波端口激励(Wave Port)和集总端口激励(Lumped Port)。其中,如果端口平面与背景相接触,激励方式需要设置为波端口激励;如果端口平面在模型内部,激励方式则需要设置为集总端口激励。

a.波端口激励

与背景接触到的端口平面需要设置为波端口激励。在设置波端口激励是,需要设置积分校准线(模式驱动求解类型)或终端线(终端驱动求解模式)、S参数归一化阻抗值和端口平移距离等信息。

对于模式驱动求解类型,在设置波端口激励方式时,需要设定端口的积分线(Integration Line)。设定积分线的目的有两个,一是确定电场的方向,积分线的箭头指向即为电场的正方向;二是设定端口电压的积分路径,用于计算端口电压等参数。

对于终端驱动求解类型而言,在设置波端口激励方式时需要设定端口的终端线(Terminal Line),通过终端线上的节点电流和电压来计算端口的阻抗和S参数矩阵。

b.集总端口激励

集总端口激励(Lumped Port)类似于传统的波端口激励,与波端口激励不同的是集总端口激励需要设置在物体模型内部,且用户必须设定端口阻抗。集总端口直接在端口处计算S参数,设定的端口阻抗为集总端口上S参数的参考阻抗。集总端口不能进行端口平移操作。

集总端口的设置和波端口类似,需要设置积分线(模式驱动求解类型)或终端线(终端驱动求解类型)以及端口阻抗。与波端口激励不同的是,集总端口边缘没有与导体或其他端口相触的部分,默认边界条件是理想磁边界(Perfect H),因此不存在电场耦合到波端口边缘影响传输线特性的问题。对于 微带线、带状线等半开放类的结构,集总端口平面的大小只需与微带线或带状线的宽度相同即可。

5. 设置求解参数

HFSS软件采用自适应网络剖分技术,根据用户设置的误差标准,自动生成精准、有效的网络来分析物体模型的电磁特性。HFSS基本的求解参数包括求解频率、自适应网络剖分的最大迭代次数和收敛误差。如果需要进行扫频分析,还需要设置扫频类型和扫频范围。

a.求解设置

求解频率通常设定为天线的中心工作频率。

b.扫频设置

在天线设计中通常还需要查看天线的频率特性,比如天线的驻波比随频率的变化。此时就需要添加扫频分析项,设置扫频类型和扫频范围。HFSS中总共有三种扫频类型,分别是快速扫频(Fast)、离散扫频(Discrete)、和插值扫频(Interpolating)。其中。天线设计多选择快速扫频或者插值扫频。

6. 运行求解分析

上述操作完成后,即创建好天线模型,正确设置了边界条件、激励方式和求解参数,即可执行求解分析操作命令来运行仿真计算。整个仿真计算由HFSS软件自动完成,不需要用户干预。分析完成后,如果结构不收敛,则需要重新设置求解参数;如果结果收敛,则说明计算结果达到了设定的精度要求。

7. 查看求解结果

求解分析完成后,在数据后处理部分可以查看HFSS分析出的天线的各项性能参数,如回波损耗S11、电压驻波比VSWR、输入阻抗、天线方向图、轴比和电流分布等。如果仿真计算的天线性能满足设计要求,那么已经完成了天线的仿真设计,此时可以着手制作、调试实际的天线了。如果仿真计算的天线性能未能达到设计要求,那么还需要使用HFSS的参数扫描分析功能或者优化设计功能,进行参数扫描分析和优化分析。

8. Optimetrics优化设计

Optimetries是集成在HFSS中的设计优化模块,该模块通过自动分析设计参数的变化对求解结果的影响,实现参数扫描分析(Parametric)、优化设计(Optimization)、调谐分析(Tuning)、灵敏度分析(Sensitivity)和统计分析(Statistical)等功能。

如果前面的分析结果未达到设计要求,那么还需要使用Optimetrics模块的参数扫描分析功能和优化设计功能来优化天线的结构尺寸,以找到满足设计要求的天线尺寸。

a.参数扫描分析

参数扫描分析功能用来分析天线的性能随着指定变量的变化而变化的关系,在优化设计前一般使用参数扫描分析功能来确定被优化变量的合理变化区间。使用参数扫描分析功能,首先需要添加一个或则多个扫描变量。

b.优化设计

优化设计是指HFSS在一定的约束条件下根据待定的优化算法对设计的某些参数进行调整,从所有可能的设计变化中寻找一个满足设计要求的值。在进行优化设计时,首先需要明确设计要求或设计目标,然后用户根据设计要求定义设计变量、创建参数化的初设结构模型(Nominal Design)、构造目标含糊,最后指定优化算法进行优化。

Optimizer下拉列表框用于优化算法,其下拉列表中共有5种优化算法可供选择,分别是非线性顺序编程算法(Sequential Nonlinear Programming,SNLP)、混合整数非线性顺序编程算法(Sequential Mixed-Integer Nonlinear Programming,SMINLP)、拟牛顿法(Quasi Newton)、模式搜索法(Pattern Search)和遗传算法(Genetic Algorithm)。在多数情况下,建议用户选择拟牛顿法或者SNLP优化算法。

HFSS天线设计[李明洋][电子工业出版社][2011][342页]


天线基础与HFSS天线设计流程相关推荐

  1. PCB 基础~典型的PCB设计流程,典型的PCB制造流程

    典型的PCB设计流程 典型的PCB制造流程 • 从客户手中拿到Gerber, Drill以及其它PCB相关文件 • 准备PCB基片和薄片 – 铜箔的底片会被粘合在基材上 • 内层图像蚀刻 – 抗腐蚀的 ...

  2. HFSS天线设计仿真步骤

    一.HFSS天线设计流程 1.设置求解类型 模式驱动(Modal) 终端驱动(Terminal) 本征模求解(Eigenmode) 模式驱动:根据波导模式的入射和反射功率来计算S参数矩阵的解 终端驱动 ...

  3. 《HFSS 电磁仿真设计 从入门到精通》笔记 HFSS 设计流程

    HFSS 设计流程 参考 HFSS 设计流程 参考 <HFSS 电磁仿真设计 从入门到精通>6页 HFSS 设计流程 (1)启动HFSS 软件,新建一个设计工程. (2)选择求解类型.在 ...

  4. pcb天线和纯铜天线_如何简化天线设计?相控阵波束成形IC来助您

    为提高性能,无线通信和雷达系统对天线架构的需求不断增长.只有那些功耗低于传统机械操纵碟形天线的天线才能实现许多新的应用.除了这些要求以外,还需要针对新的威胁或新的用户快速重新定位,传输多个数据流,并以 ...

  5. 最好的天线基础知识!超实用 随时查询

    天线作为无线电的发射和接收设备是影响信号强度和质量的重要设备,其在移动通信领域的重要性非常关键.通过对天线选型,天线安装,天线调整从而保障基站覆盖区域的信号强度与质量.对其的 掌握程度是网规与网优工程 ...

  6. 贴片陶瓷天线原理 与 HFSS模型建立和仿真分析总结

    (原创文章,转载请与作者联系) (文末可获取陶瓷天线仿真模型) 0.前言 之前的文章介绍过一些电子产品中常见的贴片陶瓷天线.本文将对陶瓷天线的原理.HFSS模型建立仿真.贴片陶瓷天线使用注意事项等方面 ...

  7. 混合波束成形| 基于深度学习的大规模天线阵列混合波束成形设计

    文章目录 背景 系统模型 文章贡献 NN(Neural Network)设计 一些挑战 两阶段设计方法 仿真性能 结论 相关阅读 该论文是实验室师兄最新发表于WCL(IEEE Wireless Com ...

  8. HFSS天线仿真文件到Altium Designer绘制PCB

    HFSS天线仿真文件到Altium Designer绘制PCB 概述 1.HFSS导出DXF文件 2.DXF文件导入AD并进行PCB绘制 概述 本文主要记录一下如何用Altium Designer进行 ...

  9. 【干货】最好的天线基础知识,方便查询,超实用!

    天线作为无线电的发射和接收设备是影响信号强度和质量的重要设备,其在移动通信领域的重要性非常关键.通过对天线选型,天 线安装,天线调整从而保障基站覆盖区域的信号强度与质量.对其的 掌握程度是网规与网优工 ...

  10. lds天线技术流程图_一种LDS天线结构及其制作方法与流程

    本发明涉及LDS天线技术领域,尤其涉及一种LDS天线结构及其制作方法. 背景技术: 目前,在LDS天线的制作中,通常首先是将LDS材料注塑成型为支架后,再进行化镀,然后再将电子元器件通过SMT的方式组 ...

最新文章

  1. html中嵌套iframe页面_selenium表单切换(iframe)
  2. 学python心得体会500字-Python初学心得体会
  3. 用bit字段来判断性别等
  4. Asprise OCR SDK 15.3试用版破解
  5. ux和ui_设计更好的结帐体验-UX / UI案例研究
  6. 计算机技术员好学吗,电脑技术员,沦落到如此地步...
  7. 264,avs重要的变量:
  8. 「拨云见日」英特尔揭秘短视频背后的二三事
  9. php 赋值给 dom对象,详解PHP原生DOM对象操作XML的方法
  10. [CF808B] Average Sleep Time([强行]树状数组,数学)
  11. python删除excel第一行_如何用 Python 清洗数据?
  12. 一些不好理解的名词解释
  13. UITableView 的横向滑动实现
  14. 业余草 2018 技术文章合集整理,适合入门、中级、高级、架构师进阶
  15. 快速排序算法的发明者霍尔
  16. 设置jsp打开的默认方式
  17. unity 裙子摆动_【Unity Shader】摇摆的小草——顶点动画
  18. SitePoint Podcast#100:我们的现场周年纪念秀
  19. ANSYS workbench的模态分析基本原理和步骤
  20. 《实时控制软件设计》第一次编程作业

热门文章

  1. 爆!看过这么多教程吗?不管你看没看过,我反正是没看过!
  2. 看到一些有点深度的分析,转做留存
  3. 大数据点点滴滴积少成多---进阶之路
  4. wine QQ安装笔记
  5. IplImage中的widthStep大小计算及原理
  6. 【转】opencv中widthStep不一定等于width*nChannels的原因
  7. [教程] MPICH2 Win7 VS2008环境搭建
  8. 汉化破解:Restorator辅助绕过注册码
  9. 上海交通大学出版社python教材答案学生信息管理系统_学生信息管理系统任务书...
  10. 新建Office文件丨默认模板文件