文章目录

  • 一、基础知识篇
    • 1.1 基础总结
    • 1.2 Verilog 硬件描述语言
    • 1.3 SystemVerilog
    • 1.4 Linux
    • 1.5 Markdown
  • 二、Verilog设计实例篇
    • 1.1 小模块设计
  • 三、脚本语言
    • 3.1 Makefile
    • 3.2 TCL
    • 3.3 Perl
    • 3.4 Python
  • 一、芯片架构篇
    • 1.1 RISC-V
  • 一、工具篇
    • 1.1 VCS+Verdi
    • 1.2 Git
    • 1.3 GVIM
  • LEHDLAB

一、基础知识篇

1.1 基础总结

  1. IC基础知识-第一章数字芯片流程
  2. IC基础知识-第二章 数字电路基础
  3. IC基础知识-第三章 Verilog 简介
  4. IC基础知识- 第四章 verilog 进阶

1.2 Verilog 硬件描述语言

  1. verilog基础知识-竞争与冒险、Latch

1.3 SystemVerilog

1.4 Linux

  1. linux note

1.5 Markdown

  1. Markdown学习

二、Verilog设计实例篇

1.1 小模块设计

  1. HDLbits
  2. FIFO liangjushi
  3. 设计实例

1、verilog实例—并行数据流转化为一种特殊串行数据流模块的设计
2、verilog实例—sync_fifo
3、verilog实例—async_fifo
4、verilog实例-Uart设计
5、verilog实例-SPI设计
6、verilog实例-I2C设计
7、Verilog实例-AMBA(AXI)
8、verilog实例-AMBA(APB)协议
9、Verilog实例-AMBA(AHB)协议
10、verilog实例-SRAM控制器
11、verilog实例-fullshakehand握手同步
12、verilog实例-流水线(Pipeline)
13、verilog实例-近期最少使用算法(LRU)

三、脚本语言

3.1 Makefile

  1. Makefile脚本启动VCS+Verdi

3.2 TCL

1.1 TCL教程
2.1 TCL 基础语法
2.2 TCL 命令与置换
2.3 TCL 数值与变量
2.4 TCL 数据类型
2.5 TCL 表达式
3.1 TCL if 语句
3.2 TCL switch 语句
3.3 TCL 循环语句
3.4 TCL break 与 continue

3.3 Perl

3.4 Python

一、芯片架构篇

1.1 RISC-V

  1. RISC-V— 架构基础知识学习
  2. RISC-V— 蜂鸟 E200 设计总览和顶层架构介绍
    3.RV32IM_指令介绍

一、工具篇

1.1 VCS+Verdi

  1. VCS + Verdi 流程
  2. Verdi 启动方式
  3. Verdi 原理图窗口 nSchema
  4. Verdi 代码追踪窗口 nTrace
  5. Verdi 波形窗口 nWave
  6. VCS 编译选项
  7. VCS 仿真接口 UCLI
  8. VCS 混合分步编译

1.2 Git

  1. Git 学习笔记

1.3 GVIM

  1. GVIM-verilog常用代码
  2. .vimrc文件配置

LEHDLAB

  1. LEHDLAB_实验流程
  2. LEHDLAB-测试流程
  3. HDLAB_experiment data processing BY python
  4. Mathcad_excel数据处理

数字IC设计文章汇总相关推荐

  1. 数字IC设计笔试题汇总(一)

    数字IC设计笔试题汇总(一) 快秋招了,这篇博客记录了一些最近看见的数字IC设计相关的笔试题,仅供参考 1. 用100MHz的时钟A去采样200MHz的时钟B(与A无关)产生的8bit信号是同步采样还 ...

  2. 数字IC设计笔试题汇总(二)

    数字IC设计笔试题汇总(二) 快秋招了,这篇博客记录了一些最近看见的数字IC设计相关的笔试题,仅供参考 1. 在边沿敏感的时序逻辑代码中使用(A)赋值,会导致综合前与综合后仿真结果不一致. A . 阻 ...

  3. 数字IC设计流程总结

    IC设计是一个很复杂漫长的过程,笔者以下图进行总结,其中后端总结的很模糊,后续了解学习后再进行补充.笔者会根据自己的理解,一步步的分享自己理解的设计流程.其中难免有问题错误,望同学老师指出,感谢!哔哩 ...

  4. linux mipi驱动分析_寒武纪社招内推数字IC设计、DSI驱动、软件架构、产品经理、芯片架构、工具链开发、深度学习、FAE工程师...

    点击上方蓝字关注我吧! 为什么内推更靠谱?内推是基于人脉关系链的推荐,其背后有一定的信用背书,靠谱的人推荐的人相对也会比较靠谱,所以企业一般职位都是从内部开始分享的,相较于自己海投简历,内推的效率和成 ...

  5. 数字IC设计入门方法与资料大全

    0. 前言 正准备入行数字芯片设计的同志们可以看看以下几篇行业入门介绍的文章,因水平有限,文中难免存在疏漏.错误之处,与最新技术脱节之处必定不少,敬请细心的读者不吝指教.有用的您带走,没用的就当笑话看 ...

  6. 数字IC设计的第一步——Synopsys EDA Tools的安装

    目录 简述 安装环境和文件 1. 环境 2. 文件 安装包链接 1. 环境 2. 文件 安装前踩坑 安装步骤 1. 安装前的准备工作 2. 用Synopsys Installer安装 3. 在wind ...

  7. 笔试题-2023-燧原-数字IC设计【个人解答版】

    回到首页:2023 数字IC设计秋招复盘--数十家公司笔试题.面试实录 推荐内容:数字IC设计学习比较实用的资料推荐 题目背景 笔试时间:2022.07.07 应聘岗位:数字IC设计 题目评价 难易程 ...

  8. 笔试题-2023-思远半导体-数字IC设计【纯净题目版】

    回到首页:2023 数字IC设计秋招复盘--数十家公司笔试题.面试实录 推荐内容:数字IC设计学习比较实用的资料推荐 题目背景 笔试时间:2022.08.20 应聘岗位:数字IC设计工程师 笔试时长: ...

  9. 数字IC设计实现之hold violation修复大全

    数字IC设计实现之hold violation修复大全 文章右侧广告为官方硬广告,与吾爱IC社区无关,用户勿点.点击进去后出现任何损失与社区无关. 按照惯例今天这篇文章应该是昨天发出的,但是因为小编最 ...

最新文章

  1. 机器学习模型调参指南(附代码)
  2. python 操作fit文件图像
  3. 【Android 高性能音频】AAudio 音频流 缓冲区 简介 ( AAudio 音频流内部缓冲区 | 缓冲区帧容量 | 缓冲区帧大小 | 音频数据读写缓冲区 )
  4. 冒泡排序用c语言实现
  5. Failed to install *.apk on device *: timeout
  6. 泛函p121可分Hilbert空间都同构于l^2
  7. 软工Hello World!团队第二周博客汇总
  8. CS-- WebService、 windowsService
  9. getvod.php_音视频播放_PHP SDK_服务端SDK_视频点播 - 阿里云
  10. 基于jmeter测试web接口,看完都说学会了
  11. linux平台 oracle 数据库 安装文档
  12. Idea快捷键大全(Windows)
  13. nacos2.0 +nginx集群
  14. python输出右对齐_python怎么右对齐
  15. 5G网络切片安全隔离机制与应用
  16. 斯特林数 java实现_斯特林数
  17. matlab中floor函数,Matlab基本函数-floor函数
  18. Golang面试题整理
  19. uniapp 实现抽奖幸运大转盘功能
  20. linux文件fflush,Linux fflush 与 fsync的区别

热门文章

  1. 谈谈入职前后的一个月吧
  2. 快速入门 | 篇十三:正运动技术运动控制器ZDevelop 编程软件的使用
  3. P6786 「SWTR-6」GCDs LCMs(数学推导)
  4. 算法 预测赢家(动态规划)
  5. 最先进的软件测试库 (STL) 和 ASIL B:真理、神话和指导
  6. JavaScript飞机大战知识点
  7. springboot+springsecurity+阿里云短信服务验证实现注册登录
  8. 佛家经典禅语语录句子
  9. IDEA 打包docker镜像(以打包Jdk8为例)
  10. Vulkan学习(八): Hello Triangle 重构