1.前言

k64 datasheet描述了Freescale MCU的特性、架构和编程模型,主要是面向使用MCU的系统架构和软件应用开发人员。

2.模块划分

datasheet主要按功能对模块进行划分,包括如下模块:

模块名称 模块说明
ARM® Cortex®-M4 core ARM Cotex M4 32-bit MCU core,加上DSP指令和基于ARMV7架构的单精度浮点单元
System  

系统集成模块?

电源管理和多模式控制

唤醒单元

杂项控制模块

Crossbar switch ?

存储保护单元

外设桥接

带有多路选择的DMA控制器

外接的watchdog监视器

watchdog

memories  

内部存储:(1)可编程的flash存储;

(2)FLEXNVM?  FLEXRAM?

      (3)Programming acceleration RAM 为了加速flash编程?

        (4)SRAM

外部存储或外设总线接口:FlexBUS

串行编程接口:EzPort

clocks

可从外部或内部产生多种时钟

系统晶振为MCU提供时钟源

RTC晶振主要为RTC提供时钟源

USB内部时钟用于USB操作

Security

CRC校验模块

硬件加密及随机数产生器

Analog

ADC

比较器

DAC

内部参考电压

bandgap参考电压(外部)

Timers

可编程延时模块

灵活的定时器

周期中断定时器

低功耗定时器

载波调制发射机?

独立的RTC

communitations

Ethernet MAC with IEEE 1588 capability

USB OTG controller with built-in FS/LS transceiver ?

USB device charger detect

USB voltage regulator

CAN

SPI

I2C

UART

Secured Digital host controller

I2S

Human-Machine Interfaces (HMI)

GPIO 控制器

3.ARM® Cortex®-M4 Core Modules

包含如下的部分:

  • ARM Cotex-M4

(1)Cotex-M4是ARM Cotex M系列的最新版本,基于ARMV7体系结构和Thumb-2指令集,向上兼容Cotex M3,M1,M0体系结构;

(2)Cotex M4引入了ARMV7 Thumb-2 DSP;

  • NVIC

(1)ARMV7异常模型,嵌套向量中断控制器,实现可重新分配的向量表,支持很多外部中断和一个不可屏蔽中断NMI,支持中段优先级;

(2)代替了shadow寄存器,NVIC包含了执行特定handler的函数地址,前16个函数入口被分配给内部使用,其它由SOC厂商定义;

  • AWIC

异步唤醒中断控制器是检测stop mode下的唤醒事件,向clock控制逻辑发信号来resume系统时钟,时钟restart后,观察pending中断执行中断和事件处理

  • Debug interfaces

基于ARM CoreSight™  体系结构,支持四种接口:IEEE 1149.1 JTAG, IEEE 1149.7 JTAG (cJTAG), Serial Wire Debug (SWD), ARM Real-Time Trace Interface 

4. System Modules

提供了如下的系统模块:

  • System integration module (SIM)

包含一些集成的逻辑和几种模块配置???

  • System mode controller (SMC)

为每种power mode的入口和出口提供了控制和保护,为用户提供了10种不同的模式来为用户提供功耗优化,包括上电reset(POR),

integrated low voltage detect (LVD) with reset (brownout) capability and selectable LVD trip points???

  • Low-leakage wakeup unit (LLWU)

允许设备通过不同的内部外设和外部pin将设备从low leakage power modes 唤醒

  • Miscellaneous control module (MCM)

包含一些集成逻辑和嵌入的trace buffer细节?

  • Crossbar switch (XBS)

XBS连接了总线master和总线slave,允许所有的总线master访问同步访问不同的总线 slave,当不同的总线master访问同一个slave时提供了仲裁机制

  • Memory protection unit (MPU)

MPU提供了内存保护和任务隔离,能同时为slave连接监控所有的master事务??

  • Peripheral bridges

用于转换XBS接口

  • DMA multiplexer (DMAMUX)

从多个DMA请求里选取少量送给DMA控制器

  • Direct memory access (DMA) controller

提供了可编程的通道配置在两个地址间传输8bit  16bit 32bit 和128bit数据

  • External watchdog monitor (EWM)

相对Software watchdog (WDOG) 是一种冗余机制,它监控外部或内部的失败的软件操作

  • Software watchdog (WDOG)

监控内部系统操作,一旦发生失败则执行reset,它可以使用一个1KHZ的低功耗晶振,并带有一个可编程的refresh窗口来检测程序流和系统频率之间的偏差?

5.Memories and Memory Interfaces

  • Flash memory

TODO

  • Flash memory controller

用于管理device和片上flash存储的接口

  • SRAM

内部系统 RAM,在VLLS2 low leakage mode,部分SRAM处于上电

  • System register file

32字节的寄存器文件,在所有的power mode都可以访问,由VDD上电

  • VBAT register file

32字节的寄存器文件,在所有的power mode都可以访问,由VBAT上电

  • Serial programming interface (EzPort)

和工业级的SPI flash memories使用的命令集相同(或其子集)的串行接口。提供了读、擦除、编程flash,编程完flash后boot系统的功能

  • FlexBus

外部总线接口,有多个独立的、用户可编程的片选信号可以选中外部的SRAM  EPROM PROM  EEPROM  FLASH或其它外设,8bit  16bit  32bit和16字节的line-size传输

6.Clocks

  • Multi-clock generator (MCG)

提供了如下的clock源:

(1)Phase-locked loop (PLL) — Voltage-controlled oscillator (VCO)
(2)Frequency-locked loop (FLL) — Digitally-controlled oscillator (DCO)
(3)Internal reference clocks — 可以作为片上外设的时钟源

  • 48 MHz Internal Reference Clock (IRC48M)

提供内部产生的时钟源,时钟恢复电路利用接收的USB数据流来校准内部晶振,使得内部晶振满足USB时钟的需求

  • System oscillator

产生MCU的参考时钟

  • Real-time clock oscillator

有独立的供电,支持32K晶振来用于RTC。可选定是可以代替系统晶振作为主晶振源

7.Security and Integrity modules

  • Cryptographic acceleration unit (CAU)

支持DES, 3DES, AES, MD5, SHA-1, and SHA-256 algorithms

  • Random number generator (RNG)

支持随机数产生的关键算法

  • Cyclic Redundancy Check (CRC)

TODO

8.Analog modules

  • 16-bit analog-to-digital converters (ADC)

TODO

  • Analog comparators (CMP)

TODO

  • 6-bit digital-to-analog converters (DAC)

TODO

  • 12-bit digital-to-analog converters (DAC)

TODO

  • Voltage reference (VREF)

9.Timer modules

  • Programmable delay block (PDB)

TODO

  • Flexible timer modules (FTM)

TODO

  • Periodic interrupt timers (PIT)

TODO

  • Low-power timer (LPTimer)

TODO

  • Carrier modulator timer (CMT)

TODO

  • Real-time clock (RTC)

TODO

  • IEEE 1588 timers

10.Communication interfaces

  • Ethernet MAC with IEEE 1588 capability(ENET)

TODO

  • USB OTG (low-/full-speed)

TODO

  • USB Device Charger Detect (USBDCD)

TODO

  • USB voltage regulator

TODO

  • Controller Area Network (CAN)

TODO

  • Serial peripheral interface (SPI)

TODO

  • Inter-integrated circuit (I2C)

TODO

  • Universal asynchronous receiver/transmitters (UART)

TODO

  • Secure Digital host controller (SDHC)

TODO

  • I2S

TODO

11.Human-machine interfaces

  • General purpose input/output (GPIO)

转载于:https://www.cnblogs.com/smartjourneys/p/8048127.html

k64 datasheet学习笔记1---概述相关推荐

  1. k64 datasheet学习笔记35---Analog-to-Digital Converter (ADC)

    0.前言 本文主要介绍K64的ADC部分的特性,内部架构,信号描述及操作接口等 1.简介 1.1.ADC模块特性 线性逐次逼近算法,达16bit分辨率 达到4对差分和24个单端模拟量输入 输出模式 差 ...

  2. k64 datasheet学习笔记21--Direct Memory Access Multiplexer (DMAMUX)

    0.前言 本章主要介绍DMA MUX的相关内容 1.简介 1.1 概述 用来路由DMA源到16路DMA通道: 1.2 特性 1.52个外设slot和10个常开slot可以路由到16路DMA通道 2.1 ...

  3. k64 datasheet学习笔记12---System Integration Module (SIM)

    1.前言 Features of the SIM include: System clocking configuration (1)System clock divide values (2) Ar ...

  4. k64 datasheet学习笔记25--Multipurpose Clock Generator (MCG)

    0.前言 MCG模块为MCU提供了几种可选时钟源.模块包含一个FLL和一个PLL.FLL使用内部或外部参考时钟是可控的,PLL受外部参考时钟控制 模块可以选择FLL或PLL输出时钟,或内/外部参考时钟 ...

  5. k64 datasheet学习笔记3---Chip Configuration之System modules

    1.前言 本文主要介绍芯片配置的系统模块的内容 2.SIM配置 TODO 3.SMC配置 TODO 4.PMC配置 TODO 5.LOW-LEAKAGE WAKEUP单元配置 TODO 6.MCM配置 ...

  6. k64 datasheet学习笔记26--Oscillator (OSC)

    1.前言 OSC模块是一个晶体振荡器. 该模块使用晶体或谐振器与外部连接,为MCU产生一个参考时钟. 主要为下图红色框住的部分 2.特性和模式 Supports 32 kHz crystals (Lo ...

  7. ARMV8 datasheet学习笔记3:AArch64应用级体系结构

    1.前言 本文主要从应用的角度介绍ARMV8的编程模型和存储模型 2. AArch64应用级编程模型 从应用的角度看到的ARM处理器元素: 可见的元素(寄存器/指令) 说明 可见的寄存器 R0-R30 ...

  8. ARMV8 datasheet学习笔记5:异常模型

    1.前言 2.异常类型描述 见 ARMV8 datasheet学习笔记4:AArch64系统级体系结构之编程模型(1)-EL/ET/ST 一文 3. 异常处理路由对比 AArch32.AArch64架 ...

  9. Oracle学习笔记 字符集概述

    Oracle 学习笔记 字符集概述 这节课开始讲oracle里面的字符集 偏重于原理和简单的一些判断以及实现 字符集它涉及到很多的东西 比如建库和操作系统环境 这节课把字符集的原理性的东西以及常见的操 ...

  10. CV学习笔记-数字图像概述

    数字图像 1. 图像 像素: 像素是分辨率的单位.像素是构成位图图像的基本单元,每个像素都有自己的颜色. 分辨率: 又称"解析度",图像的分辨率就是单位英寸内的像素点数.单位是PP ...

最新文章

  1. zookeeper -- Mac 上 Intellij IDEA 配置 zookeeper(3.5.8) 源码阅读、运行、调试环境
  2. 操作主机PDC Emulator[为企业维护windows server 2008系列六]
  3. 设计模式学习笔记 1.介绍
  4. Apache 重写规则的常见应用 (rewrite)
  5. C#图片处理基本应用(裁剪,缩放,清晰度,水印)
  6. 解决VM虚拟机中ubuntu系统上不了网的问题
  7. 各种编程语言,Linux命令行播放,Bio-Linux,Markdown编辑器等
  8. 《Google Go: A Primer》学习笔记
  9. 均衡发展计算机教室解说词,均衡发展图书室解说词|小学图书室解说词开场白范文推荐...
  10. Modem Router
  11. 尝试从redis未授权访问到getshell的四种姿势(失败)
  12. Snackbars从顶部滑出的实现
  13. 光敏电阻简单应用——晚上灯亮,白天灯灭
  14. 周鸿袆眼里的程序员创业
  15. 使用线程池优化多线程编程
  16. android添加内存卡,SD卡变RAM 增加虚拟内存方法
  17. 前端动态生成横向树形表格
  18. 微信新出置顶公众号功能,优质自媒体的春天!
  19. SP,Substance painter
  20. Golang之文件数据库--Bolt库的使用

热门文章

  1. jQueryEasyU校验邮箱、手机号等
  2. 昆明理工大学计算机考研分数线,昆明理工大学2015考研分数线已公布
  3. Debian 6(Squeeze)升级至Debian 7(Wheezy)
  4. 伪静态与重定向--RewriteBase
  5. 都说程序员木讷,但这位不同,反手就是一串代码把姑娘撩到幸福地流泪
  6. POJ 3422 Kaka's Matrix Travels(拆点+最大费用流)题解
  7. java 创建meta inf_java – 在Eclipse中创建META-INF / services文件夹
  8. 个人博客系统整体介绍
  9. Final swfplayer安卓系统中播放网页中的播放flash动画
  10. 公布网贷者“黑名单” 涉嫌侵犯个人隐私