VCS仿真编译选项

1. 扩展选项
2. 自带编译选项

1. 扩展选项

1.   +vcs+line+wait:一直等待license。
2.  +maxdelays/+mindelays:使用SDF文件中最大延时/最小延时,maxdelay直接影响建立关系,mindelay直接影响保持关系。
3.  +nbaopt:删除非阻塞赋值语句中的延时。
4.  +neg_tchk:使能时序检查中的负延时。
5.  +nospecify:屏蔽路径延时和时序检查。
6.  +notimingcheck:不进行时序检查。
7.  +prof:在vcs仿真过程中生成vcs.prof文件,记录设计中最耗cpu时间的模块。
8.  +race:在vcs仿真过程中生成race.out文件,记录设计中所有的竞争冒险。
9.  +sdf_nocheck_celltype:SDF反标时不检查SDF文件中的celltype的一致性。
10. +sdfverbose:显示SDF反标时的详细告警和错误信息。
11. +vcs+flush+log:加速编译仿真时log文件缓存的刷新频率。
12. +vcs+initmem+0/1/x/z:初始化设计中所有存储器的值。
13. +vcs+initreg+0/1/x/z:初始化设计中所有寄存器的值。
14. +vpi:使能vpi。
15. +v2k:支持Verilog-2001标准。
16. +vc:支持在源代码中调用外部定义的C/C++函数。
17. +vcs+learn+pli:追踪当前仿真的ACC选项,记录在pli_learn.tab文件中,下次仿真时可用+applylearn来重新编译。
18. +warn=noRWSI:不显示报警信息。
19. +lint:显示lint信息。
20. +cli:使能CLI调试功能。
21. +incdir+directory+:可在指定的目录下搜索`include的文件,可通过+号来增加目录。
22. +error+n:指定编译时的最大报错数目。
23. +ntb_random_seed=$(seed):指定种子号。
24. +UVM_VERBOSITY=$(pl):指定UVM打印等级。

2. 自带编译选项

1.   -cm line+cond+fsm+tgl:指定覆盖率的类型,行覆盖率+条件覆盖率+状态机覆盖率+翻转覆盖率。
2.  -cm_hier:将覆盖率统计范围,限定在所指定的module层次。
3.  -cm_count:在统计是否覆盖的基础上进一步统计覆盖的次数。
4.  -cm_log:指定仿真中记录覆盖率的log文件名。
5.  -comp64:在64bit模式下对设计进行编译,并生成32bit格式的可执行文件用于32bit模式仿真。
6.  -debug:使能UCLI命令行和dve。
7.  -f <filename>:指定文件列表的文件名,文件中可包括源代码文件的路径和名称,也可以包括编译选项参数。
8.  -full64:在64bit模式下编译,生成64bit模式仿真的可执行文件。
9.  -j<number>:设定并行编译的进程数,j后面没有空格。
10. -Mdir=<directory>指定一个目录让vcs存储编译产生的文件,默认是csrc。
11. -ntb:使能ntb(Native TestBench),支持OpenVera的验证平台语言结构。
12. -timescale=1ns/100ps:仿真时间单位1ns,精度100ps。
13. -q:安静模式,屏蔽vcs的编译信息。
14. -R:在编译之后立即执行产生的可执行文件。
15. -s:刚开始仿真时即停止,一般与-R和+cli配合使用。
16. -V:使能verbose模式。
17. -v <filename>:指定verilog库文件。
18. -y <dir_path>:指定verilog库路径。
19. -vera :指定标准的Vera PLI表文件和对象库。
20. -a <filename>:将仿真显示的log信息附在指定文件尾部。
21. -E <program>:执行指定的程序用来显示生成simv可执行文件时vcs使用的编译选项。
22. -sverilog:支持SystemVerilog。
23. -ucli:使能UCLI命令。
24. -vcd <filename>:指定VCD波形文件名,默认是verilog.dump,会被源代码文件中的$dumpfile覆盖。
25. -l <filename>:指定记录VCS编译和运行信息的log文件名。
26. -notice:显示详细的诊断信息。
27. -o <name>:指定编译生成的可执行文件的名称,默认是simv。
28. -Mupdate:增量编译(只编译在原工程中修改后的代码)。
29. -gui:仿真开始后启动DVE图形界面。
30. -verdi:使用verdi图形界面。
31. -assert dve:将assertion放入vpd文件中,并支持在dve中trace。
32. -assert report=DIR_PATH/xxx.report:指明assertion的report文件。
33. -assert svaext:根据形参执行不同的sequence时开启此选项

【注】:个人学习笔记,如有错误,望不吝赐教,这厢有礼了~~~


Synopsys VCS仿真编译选项相关推荐

  1. 使用Synopsys VCS仿真xilinx的加密IP方法

    本文首发于hifpga.com Xilinx利用了Verilog LRM-IEEE Std 1364-2005中指定的最新加密方法.Hard-IP的仿真模型(例如PowerPC处理器,MGT和PCIe ...

  2. SYNOPSYS VCS Makefile文件编写与研究

    SYNOPSYS VCS Makefile文件编写与研究 这个Makefile是synopsys提供的模板,看上去非常好用,你只要按部就班提供实际项目的参数就可以了.我们来看这个文件的头部说明: ma ...

  3. Linux 运行vcs仿真命令,VCS使用以及命令行调试

    最近在学习VCS,现将VCS的一些使用心得记录下来. VCS是synopsys的仿真verilog的仿真器.基于linux系统.有命令行模式和图形化模式.图形化模式是用的dve. 以串口verilog ...

  4. Synopsys VCS工具介绍

    概述 VCS全称为Verilog Compiled Simulator VCS仿真 当我们完成了一个模块的设计文件编写后 ,需要 使用VCS进行编译(compile),生成优化的仿真可执行文件 对该V ...

  5. VCS仿真和多个test用urg工具生成coverage文件verdi查看--转载

    VCS仿真可以分成两步法或三步法, 对Mix language, 必须用三步法.我呢,因为运用都是简单的非mix language,所以经常用一步法,因为这样省劲,但是对于跑regression最好还 ...

  6. VCS仿真VHDL VERILOG混合脚本

    IC小白有感于第一次参与的流片工程,总结了一下参与过程中的Makefile配置,以及一些环境配置,希望能够帮助到大家: 首先VCS要进行VHDL和VERILOG的混合仿真,在进行仿真VHDL时要配置s ...

  7. 使用VCS 仿真后,通过DVE 观察波形,多维数据显示not load问题及解决方案

    版权声明:本文为CSDN博主「Silent_Majority」的原创文章,遵循CC 4.0 by-sa版权协议,转载请附上原文出处链接及本声明. 原文链接:https://blog.csdn.net/ ...

  8. Ubuntu18.04 LTS 安装 Synopsys VCS及一些问题

    Ubuntu18.04 LTS 安装 Synopsys VCS+Verdi问题解决 具体安装内容各位可以参考自 huayangshiboqi 的文章VCS+Verdi 安装及破解过程(Ubuntu), ...

  9. 用telnet+openocd+jtag_dpi+vcs仿真调试RISCV的cpu

    目录 背景: 需要了解的基础知识,此处不做介绍: 如何连结和调试 一些中间过程需要关心,记录 背景: Server    :tcl+telnet SW         :openocd+JTAG_DP ...

最新文章

  1. TensorFlow:将ckpt文件固化成pb文件
  2. 模拟noj——打扑克
  3. xsd文件转图片_如何将图片转化为PDF格式?分享一个超简单的方法给你
  4. twitter api使用_使用P2创建自己的Twitter风格的组博客
  5. 谈谈iOS获取调用链
  6. python偏函数和高阶函数_【Python入门】8.高阶函数之 匿名函数和偏函数
  7. 媒体集有2个媒体簇,但是只提供了1个
  8. JAVA编程思想读书笔记(五)--多线程
  9. 实习踩坑之路:LocalDateTime计算间隔天数,compareTo/Period的beetween方法导致的bug
  10. python编程多少钱_中山python编程课学习大概多少钱
  11. 获取当前时间精确到毫秒
  12. element时间日期选择器组件设置默认时间
  13. c程序设计语言第五单元,人教版七年级语文下册第五单元复习题
  14. 本体、语义网络和知识图谱的概念与区别
  15. 300最不常用的springboot计算机毕业设计题目汇总,总有你需要用到的
  16. 2021-03-04 mysql in里加个参数就查不到??是JSON_EXTRACT导致的,用JSON_UNQUOTE()去掉双引号就正常了
  17. JXTA的安装和使用
  18. 我的第一个网站——MISDream(四):网站的发布与云服务器的搭建
  19. 【SE】Week3 : 个人博客作业(必应词典)
  20. 阿拉伯数字金额转中文大写金额 base C++

热门文章

  1. C# 实现类似SMSS的执行脚本的功能
  2. LeetCode每日一题 1238.循环码排列
  3. python如何询问用户是否继续游戏_Python猜猜游戏如何再现
  4. easyui datagrid th标签列数字保留2位小数
  5. android studio(自带SDK)安装教程
  6. MS Excel生成二维码
  7. sketch 如何实现图片image圆角 头像圆角
  8. Apache JMeter压测工具
  9. Linux密码策略、连接空闲超时时间设置
  10. 如何判断点是否在圆弧上