1、解决警告信息

FPGA在写Verilog时编译警告,具体警告信息如下:
Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
(警告(18236):未指定处理器数量,这可能导致共享计算机过载。 将QSF中的全局分配NUM_PARALLEL_PROCESSORS设置为适当的值以获得最佳性能。)

解决方法:
看上边错误警告,未指定处理器数量,这可能导致共享计算机过载。 将QSF中的全局分配NUM_PARALLEL_PROCESSORS设置为适当的值以获得最佳性能。
打开Assignment->Settings->Compilation Process Settings
选择Use all available processors 或者根据自己处理器数量选择最大允许处理器数。保存再编译就OK了。

2、关联Modelsim
(1)指定Modelsim路径
Tools–Options–EDA Tool Options下:
modelsim:D:\modeltech64_10.5\win64
modelsim-altera:D:\intelFPGA\19.1\modelsim_ase\win32aloem
(2)选择EDA工具
Assignment->Settings->EDA tool settings->simulation->Tool name中选择modelsim
(3)生成tb模板
Processing->start->Start Test Bench Template Writer
(4)编写tb文件
File->Open上一步生成的tb模板文件(默认生成的文件名与模块名不相同,后面添加名字时指的是模块名建议修改为相同,否则写成文件名仿真时会找不到对应的模块)
(5)关联tb文件
Assignment->Settings->EDA tool settings->Simulation->Comile test Bench->Test Benches->new->选择tb文件add(Test bench name是模块名而不是文件名)
(6)开始仿真
Tools->Run simulation tools->RTL

3、查看综合器生成的原理图
(1)输入代码,选择Processing > start > Analysis & Elaboration
(2)Tools > Netlist viewer > RTL viewer

4、修改工程名和顶层模块

(1)修改工程名称流程:

1.删除文件及文件夹,只剩下.VHD、.Verilog等设计文件和.qpf、.qsf两个文件。
2.将.qpf和.qsf两个文件的文件名修改为目标工程名。
3.将.qpf和.qsf两个文件用记事本或其它文本编辑器打开,找到文件中原工程名的字符串,将原工程名字符串改为新的目标工程名字符串。.qpf和.qsf两个文件中各有一处要修改。
4.双击.qpf打开工程,重新编译就好了。

(2)修改顶层实体名称:

1.修改源文件(设计文件)名称:将Top-level entity(顶层实体)名称修改为目标名称。
2.软件中修改工程的顶层实体名称:Assignments -> Settings -> General -> Top-level entity:修改为新的实体名称即可。
3.重新编译。

5、原理图端口名称、模块名称都显示不全的解决方法:
解决方案:右键桌面Quartus图标——>属性——兼容性——高级DPI设置——高级DPI缩放勾选(win10)

6、新建IP核和修改IP核
自Quartus16取消了【MegaWizard Plug-In Manager】,后续版本使用IP核的时候,tools->ip catalog然后在软件的右侧就可以新建IP核了。

若要修改IP核,首先在项目导航中选择IP组件 然后右键Edit即可 7、开启代码自动补全功能 Quartus16以后默认没有开启代码自动补全功能的,而Quartus13是有开启的 开启方法:tools-options 8、固定一个模块的布局布线到另外一个工程中使用 [QuartusII中Design partion功能的使用](https://blog.csdn.net/weixin_30556959/article/details/99366676) Exported Partion File(.qxp)

从安全角度考虑,我们常希望保证我们设计的私有性。一个有效的方法就是利用QuartusII Exported Partition file(.qxp)创建用于综合或布局布线网表文件(不包括源代码。这种增量编译的特点需要一个完整QuartusII 许可的支持(比如免费的web版本的许可不支持的)。

A、产生qxp文件

1、进行第一次工程的综合;
2、将需要锁定的模块设置: set as design partition、netlist type(source file),然后进行第二次综合;
3、修改netlist type为post-fit,然后进行第三次综合;

B、导出qxp文件

1、Export design partition:导出锁定模块的qxp文件;

C、导入qxp文件

1、用qxp文件代替rtl代码;
2、inport design partition:导入qxp文件
3、综合就可以了

8、时钟管理
**全局时钟的设置:**在Quartus软件中,有全局的设置选项“auto global clock”,可以使得工具在实现的时候自动把一此高扇出的时钟信号走到全局网络上。同样,“auto global register control signals”选项同样可以把一些高扇出的触发器控制信号(如复位和时钟使能信号)走到全局网络上去。setting->analysis&synthesis setting->more setting->existing options setting
如果不希望某个节点(管脚或内部信号)被选择使用全局网络,可以在assignment Editor中单独对该信号设置开关(assignment anme-auto global clock)

**局部走线:**到不同目的节点的延时可能相关较大,即偏斜skew较大,可能会带来时序麻烦。布局布线中有对设计中的保持时间进行优化的选项,可以选择是仅优化IO管脚还是优化所有路径的保持时间。setting->analysis & synthesis settings->more settings->existing options settings->ignor LCELL Buffers,LCELL延时原语,用以认为地增加一些延时电路以满足保持时间的要求。

二、各种错误解决

1、分析综合时出现Warning (12241): 1 hierarchies have connectivity warnings - see the Connectivity Checks report folder


出现“hierarchies”这个词基本上是模块例化的问题。一般例化时,要是哪个连线没引出,没接上,或者是位宽不匹配就会出这个警告。可以通过定位到例化文件,或者是观察RTL视图去寻找,但是工程一大可不是那么好找的啊!

Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND.
Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed.

解释:实例化的时候,有一些端口没用,让没用的端口的位置空着,可以忽略。

2、Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details
Critical Warning (169085): No exact pin location assignment(s) for 44 pins of 44 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report.

还没有分配管脚的原因,

3、Critical Warning (332148): Timing requirements not met
解决方法:http://www.bubuko.com/infodetail-3306096.html

4、Warning (21074): Design contains 5 input pin(s) that do not drive logic
Warning (15610): No output dependent on input pin “block_num[0]”
Warning (15610): No output dependent on input pin “block_num[1]”
Warning (15610): No output dependent on input pin “block_num[2]”
Warning (15610): No output dependent on input pin “block_num[3]”
Warning (15610): No output dependent on input pin “block_num[4]”
原因:这是在例化时没有例化block_num这个参数

5、Error (10267): XXXX,cannot connect instance ports both by order and by name
原因:模块例化时有接口前没有加点“.”。
6、Warning (276027): Inferred dual-clock RAM node “phy78Q2133:phy78Q2133_u0|fifo:fifo_tx|mem_rtl_0” from synchronous design logic. The read-during-write behavior of a dual-clock RAM is undefined and may not match the behavior of the original design.

usb-blaster驱动重新安装问题

quartus II使用相关推荐

  1. 用Quartus II Timequest Timing Analyzer进行时序分析 :实例讲解 (一)

    一,概述 用Altera的话来讲,timequest timing analyzer是一个功能强大的,ASIC-style的时序分析工具.采用工业标准--SDC(synopsys design con ...

  2. (原創) 如何將編譯結果,統一放在一個目錄下? (SOC) (Quartus II)

    Abstract Quartus II預設會將所有檔案都放在project的根目錄下,導致根目錄檔案過多,管理不便,若能將編譯的結果統一放到其他目錄下,將有助於日後管理. Introduction 使 ...

  3. (筆記) 如何安裝Altera USB Blaster? (SOC) (Quartus II) (DE2)

    Abstract當將DE2的USB排線插入PC後,重新開機會找到新的硬體,這就是USB Blaster,是PC與DE2溝通的橋梁. Introduction 使用環境:Quartus II 7.2 S ...

  4. Vivado和Quartus ii 中工程存档(Archive project)及打开

    下面介绍下在Quartus ii 中进行工程存档(Archive project)以及打开存档工程的操作方法,当需要把工程发给其它电脑时,用工程存档比较方便,空间占用小,而且不会出现文件丢失的情况.我 ...

  5. 使用Altera综合工具Quartus II下载到FPGA时无法识别USB-Blaster问题

    版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明. 本文链接:https://blog.csdn.net/l2563898960/article/ ...

  6. Quartus II工程文件的后缀含义

    Quartus II工程文件的后缀含义 本文为网络整理,大部分内容来自网络. File Type Extension AHDL Include File .inc ATOM Netlist File ...

  7. [笔记].在Quartus II下载时,找不到USB-Blaster的一个可能原因

    症状 有客户反馈在设备管理器中可查看到Altera USB-Blater的驱动已经正常安装. 图1 已正常安装驱动的Altera USB-Blaster 但是在Quartus II需要下载的时候,却找 ...

  8. 记录一次quartus II prime standard 18添加器件库的方法

    Quartus II 18 prime standard 我很早之前就已经安装好了,但是一直没用,所以当时为了节约内存就没有安装device,我一直用的quartus 13.0 .今天我想着是要解决一 ...

  9. 基于Quartus II软件FPGA与PC之间的串行通信系统开发(9000+字)

    1.简介与仿真结论 随着集成电路技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域.电子设计自动化是一种实现电子系统或电子产品自动化设计的技术,它与 ...

  10. [原创].怎样制作一个简单ip,以方便在Quartus II和Nios II中使用?

    概述:此处,我以Lcd12864(ST7920)作为范例,进行粗浅讲解,望各位网友踊跃拍砖.   0 软硬件环境 软件:Altera Quartus II 9.1 + Nios II  9.1 Sof ...

最新文章

  1. 图灵有奖书评征集活动第001期
  2. VCenter电源报警
  3. ASP.NET中防止页面多次加载的IsPostBack属性
  4. python爬去学校_python爬取学校教务系统
  5. Edward Gaming, the Champion 字符串,模拟(2021.11.沈阳)
  6. C#编程(八十一)---------- 捕获异常
  7. mysql分组后去重效率_mysql-mb6018ead621887的博客-51CTO博客
  8. Apache Spark 2.2.0 中文文档 - Spark RDD(Resilient Distributed Datasets)
  9. linux查看具体进程占用的网络流量
  10. Hibernate会话工厂
  11. 数据库应用(MySQL客户端工具:Navicat/SQLyog)
  12. 希尔密码 matlab,非数学专业线性代数教学设计
  13. ps 钢笔工具做部分透明图片
  14. 使用传统AV屏幕用作树莓派屏幕
  15. Linux 下wifi 驱动开发(一)—— WiFi基础知识解析
  16. Unity3D 无限滚动列表
  17. R数据分析:数据清洗的思路和核心函数介绍
  18. pywinauto文档
  19. 第1章《启蒙》. 3.什么是硬件、软件、程序
  20. sql语句如何对日期进行模糊查询

热门文章

  1. 前方高能!githubjava开源项目
  2. 【计算机视觉】:(3)全景图像拼接
  3. 校校通php,ZDSoft.net校校通软件平台7.0((8.5日升级版).rar(请使用迅雷)
  4. Python 的IDE——PyCharm
  5. < 性能提升 Get √ :如何理解 “ 回流 ” 与 “ 重绘 ” ?如何合理的减少其出现呢 ? >
  6. 基于javaweb(springboot)汽车配件管理系统设计和实现以及文档报告
  7. 【小程序】视图与逻辑
  8. 开发游戏的老王博客常见问题总汇(4月16日更新)
  9. ms08-067漏洞 远程溢出入侵测试
  10. windows常用脚本bat合集