本次使用宝马NXP LPC1768作为实验平台,将LPC1768作为USB主机,挂载U盘,通过读取U盘里面的MP3文件,传送给VS1003进行解码播放。
      VS1003是一个单片MP3/WMA/MIDI音频解码器和ADPCM编码器。它包含一个高性能,自主产权的低功耗DSP 处理器核VS_DSP,工作数据存储器,为用户应用提供5KB 的指令RAM 和0.5KB 的数据RAM。串行的控制和数据接口,4个常规用途的I/O 口,一个UART,也有一个高品质可变采样率的ADC和立体声DAC,还有一个耳机放大器和地线缓冲器。这里就不对VS1003做详细介绍了,具体可以参考宝马开发板VS1003 MP3播放与录音例程及例程说明。

本实验是在宝马开发板USB主机U盘挂载例程基础上进行修改,使用NXP官方提供的nxpusblib库,添加VS1003操作与方向键配置,U盘枚举成功后,挂载fatfs文件系统,对于我们可以直接对文件进行打开读写操作,其程序播放主流程如下:循环检测按键状态,上下左右控制歌曲的音量加、音量减、上一曲、下一曲等,通过串口打印播放歌曲名称及音量大小。

基于nxp LPC1768开发板的U盘MP3播放相关推荐

  1. 嵌入式基础测试手册——基于NXP iMX6ULL开发板(3)

    前 言 本文档适用开发环境: Windows开发环境:Windows 7 64bit.Windows 10 64bit 虚拟机:VMware15.1.0 Linux开发环境:Ubuntu18.04.4 ...

  2. 厦门理工嵌入式开发LPC1768开发板教程

    LPC1768开发板教程 注意:本文档基于LPC1768.h头文件和EZ1768.h头文件(在文档结尾附出) 文章目录 LPC1768开发板教程 1.GPIO 1.1 设置GPIO 1.2 设置输入输 ...

  3. 基于NNEWN NSR3588开发板Android12系统实现四屏异显

    基于NNEWN NSR3588开发板Android12系统实现四屏异显 RK3588四屏异显功能实现介绍 1.硬件连接 2.内核dts配置 3.Android异显示方案 4.四屏异显测试APK及效果演 ...

  4. 【媒体控制器】开源项目学习笔记(基于Arduino Micro开发板)

    ☑️ 首先说明:本项目基于Arduino Micro 开发板开发的,外设只用到了EC11E1534408无定位旋转编码器. 项目来源:[DIY]自制PC外设-媒体控制器,在英国_哔哩哔哩_bilibi ...

  5. 基于MT7688AN模块开发板WiFi路由方案无线音频传输WiFi音箱测试

    无线路由解决方案无损WiFi音频传输测试 基于MT7688AN模块开发板WiFi路由方案无线音频传输WiFi音箱测试 L107物联网路由器模块是基于联发科MT7688或MT7628芯片组.该模块只需要 ...

  6. 基于Arduino Uno开发板的红外遥控开发

    基于Arduino Uno开发板的红外遥控开发 1 准备 1.1 硬件与软件 1.2 走线 2 代码 2.1 ReceiveDump 2.2 SendRawDemo 1 准备 1.1 硬件与软件 单片 ...

  7. Arduino开发(二)_基于Arduino UNO开发板的RGB灯光控制方法

    Arduino开发(二)_基于Arduino UNO开发板的RGB灯光控制方法 首先,我们来看一下Arduino UNO开发板的具体引脚排列,如下图所示, 再了解一下三色RGB LED模块,如下图所示 ...

  8. 基于ShineBlink物联网开发板和机智云平台开发的“针对短期内宠物无人照顾的智能宠物屋”系统

    正式介绍作品前先说明一下,我是湖南文理学院计算机与电气工程学院的一名大三学生,我叫陈海涛.作品全部内容均为个人创意.个人设计并手工制作,后期会考虑写论文投期刊,So!!严禁抄袭!抄袭必究! 该作品是用 ...

  9. 基于arduino uno 开发板制作的 智能书包项目

    基于arduino uno 开发板制作的智能书包,当时收短视频启发,集合自动售货机的代码和RC522 读卡模块代码结合制作,现基本功能可以实现 ,目前代码部分尚不稳定需要继续改进 //一个智能书包小发 ...

最新文章

  1. 其实win10要比win7的安全性强很多
  2. mysql a-z排序_mysql实现首字母从A-Z排序
  3. 页面动态显示程序执行结果-append
  4. 安卓高手之路之图形系统【5】安卓ListView和EditText配合使用时的注意事项。
  5. java中构造方法和方法全面解析
  6. “AI+”农业向农民致敬-丰收节交易会:谋定工业反哺农业
  7. 第10章:项目沟通管理和干系人管理(2)-章节重点
  8. Visual C++——加速键
  9. 表单的ajax填入问题
  10. 前沿 | 阿里达摩院最牛科技~摄像头ISP处理器,提升夜间识别精准率
  11. 拼团小程序源码_拼团小程序制作干货教程
  12. 软件测试基础:自动化测试、安全测试、性能测试
  13. keymap in ubuntu
  14. JavaSE思维导图
  15. 细说在兄弟连搞上PHP的那些事儿
  16. java生成xlsx文件
  17. 计算机硬盘能改成移动硬盘,旧硬盘怎么改装成移动硬盘
  18. vue 之 ele上传文件 和 下载文件
  19. 记录一下学习EFCore中的基础知识
  20. 指针(一)(基本概念)

热门文章

  1. 【安全利器SELinux快速入门系列 | 02】SELinux 策略实施的可视化操作指南
  2. 【高等工程数学】南理工研究生课程 突击笔记5 矩阵分解与广义逆矩阵
  3. 尤尼克斯nr68_YONEX尤尼克斯NR-60(NR60)羽毛球拍(永恒的经典,CH行货)
  4. TextRecognitionDataGenerator小语种字符串分离解决办法
  5. 2020 年以来开源软件商业化公司融资情况
  6. 18bit ADC 转换 MCP3424的使用说明
  7. 计算机高配方案,intel酷睿i9-9900KF搭配RTX2080高配游戏电脑配置单,游戏直播高端装机方案...
  8. php 抓取搜狗页面,爬取搜狗指定词条对应的搜索结果页面(简易网页采集器)...
  9. python编程从入门到实践17章使用API;17.3Hacker News API的调用
  10. [从零开始学习FPGA编程-13]:快速入门篇 - 操作步骤3(功能仿真)-2-Mentor HDL仿真工具modelsim工具的简介、功能仿真的基本原理