芯片设计验证社区·芯片爱好者聚集地·硬件相关讨论社区·数字verifier星球
四社区联合力荐!近500篇数字IC精品文章收录
【数字IC精品文章收录】学习路线·基础知识·总线·脚本语言·芯片求职·EDA工具·低功耗设计Verilog·STA·设计·验证·FPGA·架构·AMBA·书籍

笔试

1、 用verilog描述一个debounce逻辑。

//Debounce是一个防抖函数,相当于硬件电路中的按键开关所做的防抖。
module debounce(
input clk
input nrst
input press
output press_value
output press_flag);reg [3:0] cnt;
reg press_reg;always@(posedge clk or negedge nrst)
if(!nrst)begin
cnt<='d0;
press_reg<='d1;
endelse if(press_reg!=press && cnt<4'b1111)
cnt <= cnt + 1'b1;
else if (cnt == 4'b1111)
cnt<= 'd0;
else
press_reg<=press;assign press_value = (cnt == 4'b1111)?press:0;endmodule

2.下图为符合某一特征多项式的移位寄存器链,用verilog写出输出信号逻辑(中间信号名可自定义)

module LFSR(
input data_in,
input clk,
input nrst,
input [7:0] seed;
output data_out);reg [7:0] lsb;reg out
always@(posedge clk or negedge nrst)
if(!nrst)
lsb <= seed;
else
data_out <= lsb[7] ^data_in;
lsb[0] <= lsb[7] ^data_in;
lsb[1] <= lsb[7] ^data_in ^lsb[0];
lsb[2] <= lsb[7] ^data_in ^lsb[1]
lsb[3] <=lsb[2];
lsb[4] <=lsb[3];
lsb[5] <=lsb[4]^lsb[7] ^data_in;
lsb[6] <=lsb[5];
lsb[7] <=lsb[6]^lsb[7] ^data_in;endmodule

3.用verilog实现一个2.5分频电路

module (
input clk_in,
input nrst,
output clk_out); reg clk_p, clk_n;
always@(posedge clk or negedge nrst)
if(!nrst)
clk_p <= 1'b0;
else
clk_p <= ~clk_p;always@(nesedge clk or negedge nrst)
if(!nrst)
clk_n <= 1'b0;
else
clk_n <= ~clk_n;assign clk_out = clk_n & clk_p;endmodule

4、 如何实现一个数字2倍频电路(思路即可)

一个同或门与一个时钟上升沿有效的D触发器(连接成翻转器)组成,同或门的输入为D触发器输出的非和clk_in,同或门的输出为clk_out;

5.画一个状态机用来检测串行输入的101011序列

6.怎么解决芯片STA分析中出现的setup violation和hold violation

Set up violation 减少寄存器中间的组合逻辑电路的数量,重定时或做成流水线(加寄存器),使用更先进set up time小的工艺库等
Hold violation 加buffer

7.设计一个多时钟切换模块,需要注意哪些方面

时钟切换过程中可能会产生毛刺,
时钟切换前后的频率
Clock切换前后的clock jetter/时钟质量
等等

8.一个1bit信号A和一个10bit信号B,在跨时钟域传输处理上有何异同

单bit信号按照时钟域快慢切换的不同,慢到快可以打两拍,快到慢可以采用电平同步器和脉冲同步器的设计方法
多bit不能采用简单的打两拍,需要采用如异步FIFO,握手信号等方法做跨时钟域

9. 用verilog实现两个8bit有符号数A,B的求和运算,并保证最后的和在-64~63之间//默认有符号数AB的输入形式是原码

module signed_add (
input [7:0] A,
input [7:0] B,
output [8:0] C);wire [7:0] complement_A;
wire [7:0] complement_B;
wire [8:0] complement_C;//求AB的补码
assign complement_A = A[7]? {A[7],~A[6:0]}+1'b1: A  ;
assign complement_B =  B[7]? {B[7],~B[6:0]}+1'b1: B  ; assign complement_C = complement_A + complement_B;assign C = ($signed(complement_C)>(-64) && $signed(complement_C)<(63) ) ? complement_C[7:0] :0 ;//输出的C也是补码形式,不同工具对补码的表示不一定都支持,有需要再换成原码即可endmodule

10.设计一个8bit输入的mod 3运算模块(实现思路即可)

mod3运算:除三的余数
使用状态机,除以三的余数的可能性为0,1,2,一共三种状态
8bit输入放在寄存器中
再使用一个从零开始的计数器,每个时钟上升沿自增1,直到和8bit输入相等
在这个过程中,0-1-2-0-1-2,三种状态循环改变
最后相等的时候对应输出所在状态代表的余数即可

一面

聊天,应该没啥培养体系,进来就干活,一对一带教

复试

一个串并转换模块的验证testbench搭建和verificationspec的报告

【数字设计】恒玄科技_笔试面试题目分享相关推荐

  1. 【数字设计】联发科技_笔试面试题目分享

    芯片设计验证社区·芯片爱好者聚集地·硬件相关讨论社区·数字verifier星球 四社区联合力荐!近500篇数字IC精品文章收录! [数字IC精品文章收录]学习路线·基础知识·总线·脚本语言·芯片求职· ...

  2. 【数字设计】芯动科技|芯原科技_2023届_笔试面试题目分享

    芯片设计验证社区·芯片爱好者聚集地·硬件相关讨论社区·数字verifier星球 四社区联合力荐!近500篇数字IC精品文章收录! [数字IC精品文章收录]学习路线·基础知识·总线·脚本语言·芯片求职· ...

  3. 【数字设计】经纬恒润_2023届_笔试面试题目分享

    芯片设计验证社区·芯片爱好者聚集地·硬件相关讨论社区·数字verifier星球 四社区联合力荐!近500篇数字IC精品文章收录! [数字IC精品文章收录]学习路线·基础知识·总线·脚本语言·芯片求职· ...

  4. 【数字设计】华为海思/消费者BG_笔试面试题目分享

    芯片设计验证社区·芯片爱好者聚集地·硬件相关讨论社区·数字verifier星球 四社区联合力荐!近500篇数字IC精品文章收录! [数字IC精品文章收录]学习路线·基础知识·总线·脚本语言·芯片求职· ...

  5. 【FPGA】浙江大华_笔试面试题目分享

    芯片设计验证社区·芯片爱好者聚集地·硬件相关讨论社区·数字verifier星球 四社区联合力荐!近500篇数字IC精品文章收录! [数字IC精品文章收录]学习路线·基础知识·总线·脚本语言·芯片求职· ...

  6. unity算法面试_Unity笔试面试题目分享

    这几天在准备找工作,拿出了以前的一些资料,整理了一下分享出来看看,一是方便自己查漏补缺,二来其中的大部分问题是自己理解概括的,有大佬如果看到有错误的地方能够评论交流一下自然是最好的啦!希望早点找到一个 ...

  7. 宇视科技在线笔试c语言,宇视科技软件笔试面试.doc

    宇视科技软件笔试面试 笔试题: 笔试题目的量比较大,只有一个小时,注意时间. 题目分为: 判断题 2.选择题3.填空题4.编程题 判断和选择题目比较基础,但容易错.由于题量过多,我记得不太清楚了,只把 ...

  8. 数字IC笔试面试题目总结1_同步异步、竞争冒险

    数字IC笔试面试题目总结1 同步和异步 竞争.冒险与亚稳态 格雷码与Latch 大部分内容均来自网络公开资料,如有侵权,请联系删除! 同步和异步 同步电路和异步电路最主要的区别是什么 异步电路主要是组 ...

  9. 恒玄科技自称增速放缓:研发占比持续下降,汤晓冬夫妇持股22%

    11月11日,证监会披露的信息显示,证监会按法定程序同意科兴生物制药股份有限公司.恒玄科技(上海)股份有限公司.株洲欧科亿数控精密刀具股份有限公等3家企业科创板首次公开发行股票注册. 贝多财经了解到, ...

  10. 46家中外知名企业笔试面试题目

    http://blog.sina.com.cn/s/blog_4897add3010009wj.html 微软 智力题 1.烧一根不均匀的绳子,从头烧到尾总共需要1个小时,问如何用烧绳子的方法来确 定 ...

最新文章

  1. QDU第一届程序设计大赛——E到I题解法(非官方题解)
  2. 阿里巴巴java开发手册学习
  3. 数据映射--跳表(skiplist)
  4. 修改webpack的publicPath为动态设置以适配公司活动平台
  5. 教育为什么重要 大数据_为什么开放数据在教育中很重要
  6. Android Studio导入项目遇到的问题
  7. Android 渗透测试学习手册 第五章 Android 取证
  8. Linux内核 eBPF基础:BCC (BPF Compiler Collection)
  9. 【Vue.js 知识量化】基础语法
  10. WebForms简介
  11. CSS:实现跳动小球蒙版效果
  12. PDF文件怎么编辑内容
  13. PageHelper.startPage和new PageInfo(list)的一些探索和思考
  14. 1044: 不及格率 C语言
  15. [Windows系统]visio安装时提示和即点即用版本不能并行怎么办
  16. 【概率论基础进阶】随机事件和概率-古典概型与伯努利概型
  17. linux进程signal,Linux Signal 示例
  18. 唐朝诡事录之西行官宣
  19. VGG——深度学习必会模型及PyTorch实现
  20. 一文带你了解200G DAC高速线缆

热门文章

  1. 三角函数 公式【和差化积、积化和差】最简记忆口诀
  2. 博士预答辩之后关于中文论文踩坑心得
  3. 【unity3d】复刻死亡之书自动设置摄像机景深
  4. 根据excel模板导出多sheet且生成条形码或二维码插入excel指定位置中
  5. 《童趣》——《所见》《小儿垂钓》《村居》《浮生六记·童趣》 ——诗文诵读教学设计
  6. 计算机中回收站的作用,windows7回收站的功能与作用
  7. BOS Studio(金蝶BOS)的简单介绍
  8. php后台您没有权限访问该页面,登陆微信公共平台提示没有权限访问该页面请点击返回首页现象的解决办法...
  9. 计算机无法识别建行网银盾,建行网银盾无法识别怎么办 几招办法教你轻松解决...
  10. 刘一男词汇课(从abdomen到enormous):书上有路勤为径,学海无涯“懂“作舟