耗时一个月,总算学完了C语言。

我不是计算机科班生,十一月又正是频繁交作业、体测的时点。在一堆杂乱的任务和六级备考中,对计算机的学习虽然有所中断,好在没有夭折。

从手忙脚乱地配置编程环境,打不出那句经典的“HELLO WORLD”,到深入了解语句底层逻辑,能够编写多文件项目;从小小的VS与DEV C++函数名差异就能让我苦思一小时,看着“完美”的代码不知错处,到用C语言编写MIT算法导论课程上的算法练习,力求最优。回望过去一个月,我感到无论是专业知识还是心智都成长了很多。

自学艰难。没有同学可以交流,没有老师可以询问,既不知道要学什么,也不知道怎么学。很多困惑没人解决,只能独自摸索,搜索网站,查阅书籍,对着偏差的代码一行行调试,优化不知哪里可以优化的算法。

同侪英杰各自成就声名,却无人知晓你的攀登,只是在一个个早晨困心衡虑,只是在一个个夜晚搜索枯肠。

像是在黑暗中蹒跚学步,求一条通往星空的道途。

好在有愿意分享的前辈,如一盏盏明灯,照亮我的前路。感谢知乎的夏天、编程指北等前辈,告诉了我一个优秀的计算机应届生应该掌握什么,学计算机的人大学时光该如何度过,以及推荐了一系列优秀的课程的书籍,让我对接下来的学习有了明确的规划。感谢计算机科班生的朋友,尤其是伦神,愿意和我讨论问题,尽管都是我在问,很多次帮我解惑。感谢各位在开源网站分享经验的前辈,感谢公开课老师,虽然我可能不知道你们的名字,虽然我们可能没有交集,但正是你们的无私分享,才铺就了我们自学者的道路。

亲尝自学的艰难,我想让这条路不再艰难。虽然我只是自学了一个月的初学者,但我也会尽力分享自己的经验,阐述那些曾经困扰了我很久的问题的答案,帮助后来者。

怀揣着翱翔的梦想,必先承受匍匐爬行的艰辛。

纵有万千烦扰,风吹霜降,我心中提桶跑路的烈火不曾熄灭。

我想用它照亮更多的人。当然,这也是对我所学的系统整理。

提桶路上,你我相伴

在CSDN的第0篇博客相关推荐

  1. 一个大龄FPGA工程师在CSDN发的第一篇博客

    我做FPGA开发9年多了,算是一个大龄工程师了.期间接触过一些项目管理和技术支持之类的工作,不知道为什么,脱离研发做这些工作我总觉得不踏实,也许天生就适合死磕技术.就是不知道继续这么死磕下去会怎么样, ...

  2. 在CSDN上的第一篇博客

    大学三年在保研成功那一天算是画上了一个圆满的句号,但是这个句号并不代表我在大学里面学到了多少知识.相反,我常常为自己匮乏的专业知识而感到灰心丧气,甚至开始怀疑自己是否适合做IT这一行.大学期间成绩虽然 ...

  3. Healer的第一篇博客

    1.自我介绍 Healer是来自双非一本弱校的小白一枚,说不上对编程感兴趣但也绝对不会排斥编程.但是既然选择了计算机专业,那呆瓜就有信心就要搞好它,只要坚持下去,Healer一定可以从小白变成大牛! ...

  4. 【个人随笔】我的第一篇博客(为什么、写什么、怎么写)

    关于这个博客(Ezrealer) 旧平台.新博客 这是我在这个博客下的第一篇博客,却是我使用CSDN的第N篇博客了,之所以又开了个博客,是想统一一下域名和ID,万一以后打造个个人品牌之类的,可以说是强 ...

  5. 我的第一篇博客-记录我此刻的心情以及开通CSDN博客的感受

    我人生的点滴--就从这篇博客开始谈起吧! 很早之前,我就幻想着能够有一种像日记这样的东西来记录我生活的点点滴滴,曾经试过采用电脑记事本来进行记录,但每一次都不了了之,具体原因,我也说不太清楚!不知从什 ...

  6. 如何使用CSDN中的Markdown写博客——纯小白篇

    这篇博客用来学习如何使用CSDN中的Markdown写博客 在写这篇博客前,我找了很多教程,摸索了很长时间才会用这东西写博客,现在写一个教程方便像我一样的纯小白学习如何用这个Makedown写博客. ...

  7. 我在CSDN的第一篇博客-iOS开发-关于Debug的一些技巧(NSLog方面)

    唠叨几句 本来想写点感言的,不过想了想觉得有点儿矫情,还是算了.开博客原因很简单,就是想锻炼一下自己表达能力,并且总结一些需要积累的东西. 第一篇博客,还是写点有用的东西吧. 刚刚看到的一篇关于Deb ...

  8. 关于Apt注解实践与总结【包含20篇博客】

    YCApt关于apt方案实践与总结 目录介绍 00.注解系列博客汇总 01.什么是apt 02.annotationProcessor和apt区别 03.项目目录结构 04.该案例作用 05.使用说明 ...

  9. 我的第200篇博客:Linux下手把手搭建DHCP、DNS、HTTP、FTP服务器及遇到问题的解决过程

    这是我的第200篇博客,所以我打算写一个质量还算高的文章. 今天耗时11个小时,在CentOS 上搭建一个功能相对完整的服务器,用来检验我这几天的学习成果.(不一定非要CentOS,Ubuntu也很香 ...

  10. C博客作业00--我的第一篇博客

    这个作业属于哪个班级 C语言–网络2011/2012 这个作业的地址 C博客作业00–我的第一篇博客 这个作业的目标 学习Makdown语法,对本专业及C语言课程有个简单了解,记录自学慕课视频的笔记. ...

最新文章

  1. 漫谈 ClickHouse 在实时分析系统中的定位与作用
  2. vue1与vue2的路由 以及vue2项目大概了解
  3. Anaconda多环境多版本python配置指导
  4. 3月12日云栖精选夜读 | 安全多方计算新突破!阿里首次实现“公开可验证” 的安全方案...
  5. canvas基本用法
  6. POJ 2965.The Pilots Brothers‘ refrigerator
  7. 机器学习:如何在安卓上集成TensorFlow
  8. 《计算机图形学》2.2.2 光栅扫描显示处理器
  9. Visual Studio SnippetDesigner使用
  10. linux 常用命令01
  11. Java面试题谷歌插件
  12. java链表集合_Java底层基于链表实现集合和映射--集合Set操作详解
  13. 【openMV】openMV之测距
  14. codeblock无法新建工程_龙园路顶管工程顶管机顺利始发,项目预计明年5月完工...
  15. 为什么Python是数据科学领域最受欢迎的语言之一?
  16. 08Vue.js快速入门-Vue综合实战项目
  17. 突破软件测试系统性能瓶颈 LoadRunner实战演讲
  18. ON_EVENT 报错
  19. asp.net在前台web页面中使用Javascript调用RTX腾讯通的聊天窗口
  20. windows操作系统启动后,不用输入用户名和密码,自动登录设置

热门文章

  1. ollvm源码分析之指令替换(1)
  2. IDEA启动:Internal error. Please refer to https://jb.gg/ide/critical-startup-errors
  3. NO.6 计算数组中存在重复元素 II
  4. iOS:跳转设置界面,权限相关,UIApplicationOpenSettingsURLString的使用
  5. windows下使用linux terminal
  6. Sublime Text 3.1 编辑管理工程(项目)
  7. 敏感词的字典树匹配(lua版)
  8. CPU制造工艺完整过程(图文)
  9. [JS6] 通过用户事件事件执行脚本
  10. M8的USB工作模式切换工具