Verilog 语言2选1数据选择器

一、测试模块

//===============test module==================//module mux2to1(a,b,sel,out);input a;    //数据输入端ainput b;    //数据输入端binput sel;  //数据选通控制端output out; //数据输出端wire a1, b1, selnot;//门级判断//not (selnot, sel);and (a1, a, selnot);and (b1, b, sel);or  (out,a1,b1);endmodule

二、testbench

//============testbench==============//`timescale 1ns/1psmodule mux2to1_tb;
//激励信号定义,对应接到testmodule的输入端口reg signal_a;reg signal_b;reg signal_c;//待检测信号定义,对应接到testmodule的输出端口wire signal_out;//例化testmodulemux2to1 mux2to1_0(.a(signal_a),.b(signal_b),.sel(signal_c),.out(signal_out));//产生激励initial begin signal_a=0; signal_b=0; signal_c=0;#100;//延时100nssignal_a=0; signal_b=0; signal_c=1;#100;signal_a=0; signal_b=1; signal_c=0;#100;signal_a=0; signal_b=1; signal_c=1;#100;signal_a=1; signal_b=0; signal_c=0;#100;signal_a=1; signal_b=0; signal_c=1;#100;signal_a=1; signal_b=1; signal_c=0;#100;signal_a=1; signal_b=1; signal_c=1;#200;$stop;end initial $monitor ($time,"signal_a=%signal_b signal_b=%signal_b signal_c=%signal_b signal_out=%signal_b",signal_a,signal_b,signal_c,signal_out);endmodule

Verilog 语言2选1数据选择器相关推荐

  1. Verilog——74HC151八选一数据选择器并扩展为16选1数据选择器

    Verilog--74HC151八选一数据选择器并扩展为16选1数据选择器 74HC151的仿真 设计思路 采用行为级建模,根据74HC151八选一数据选择器的功能表编程即可. 代码实现 设计模块 / ...

  2. Quartus II使用说明(Verilog HDL二选一数据选择器 )

    <可编程数字逻辑电路设计>课程中Quartus II软件的使用说明 VHDL和Verilog HDL不一样. 1.新建文件夹,里面包含5个子文件夹(doc/img/prj/rtl/test ...

  3. oracle选择器,使用verilog实现4选1数据选择器的几种方法

    第一种方法module mux( d1, d2, d3, d4, se1, se2, dout ); input d1; input d2; input d3; input d4; input se1 ...

  4. Verilog VHDL三种建模描述方式——2选1数据选择器

    标题Quartus II 标题 Verilog VHDL三种建模描述方式--2选1数据选择器 1,结构化描述方式: 是使用实例化低层次模块的方法,即调用其他已经定义过的低层次模块对整个电路的功能进行描 ...

  5. Verilog二选一数据选择器

    //二选一数据选择器module mux2_1(a0,a1,s,f) input a0,a1,s; output f;//默认是wire(线)变量 assign f=(s)?a1:a0;//assig ...

  6. VHDL四选一数据选择器和基本触发器的设计

    主要内容: 本设计主要是利用超高速硬件描述语言VHDL对四选一数据选择器和基本触发器电路进行编程实现. 四选一数据选择器应该具备的功能:在选择信号的作用下,从输入通道中选择某一个通道的数据作为输出. ...

  7. FPGA(1)--VHDL--6选1数据选择器

    文章目录 一.实验目的 二.实验内容 三.实验设计 四.实验结果及仿真 五.实验思考与总结 一.实验目的 掌握用VHDL语句进行组合电路设计的方法,并熟悉程序的编译.调试与波形图的仿真. 二.实验内容 ...

  8. FPGA(3)验证数字逻辑(与门、与非门、二选一数据选择器、2-4译码器、半加器、全加器)

    目录 一.验证与门 二.验证与非门 三.验证二选一数据选择器 四.验证2-4译码器 五.验证半加器 六.验证全加器 0.初始化定义 1.第一个半加器 2.第二个半加器 3.得到最终进位Co 代码 0决 ...

  9. 4片74151扩展为32选1数据选择器

    在做较为复杂的电路设计时, 比如设计过程包含对于5变量逻辑函数的实现, 此时若用大量的逻辑门来实现, 那无疑是耗时耗力的: 若直接用32选1数据选择器进行实现, 则只需对照真值表来接线即可, 这样免去 ...

  10. # Quartusll采用IF设计二选一数据选择器及仿真

    Quartusll采用IF设计二选一数据选择器及仿真 软件Quartusll9.1 没有软件的小可爱先来领取资源哈~~(9版本以后就不自带仿真) 链接:https://pan.baidu.com/s/ ...

最新文章

  1. EditText和TextView出现中文、英文等string串的排版问题
  2. IBM认为将AI用于人力资源的价值
  3. mongodb java 学习_MongoDB学习(四):通过Java使用MongoDB
  4. java thread safe_Java 线程安全 Thread-Safety
  5. python基础之玩转(变量赋值)
  6. ctf 绕过php,Bugku-CTF之各种绕过
  7. 数组在内存中存储方式
  8. Web前端JavaScript笔记(4)节点
  9. 关于大小端转换整理总结(包含原始方式、Qt方式)
  10. 异或(另类斐波那契数列题)
  11. 电容式压力传感器分类与原理
  12. VLP-16第一课: Velodyne的工作原理和驱动安装
  13. python 点点滴滴
  14. 采用Eclipse为IDE,设计一个Java程序,实现根据指定年份、月份计算该月天数的功能,即程序输入为年、月,输出为该月的天数。
  15. 绝地求生+守望先锋?2019首款黑马游戏Apex英雄凭什么如此火爆?
  16. PS 逆时针绕圈文字
  17. 打开对方CMD的三种方法
  18. MyCat2分库分表的基本操作
  19. 计算机微课课件评比活动总结,优质课评比活动总结
  20. iOS开发之Runtime常用示例总结

热门文章

  1. 计算机定时关机教程,电脑定时关机怎么设置|如何让电脑定时关机
  2. Winxp系统文件夹完全解析
  3. cvf命令报错 linux,linux命令大全
  4. jQuery源码解读一
  5. WebSql用法(自我记录)
  6. vasp-分子动力学模拟
  7. golang 腾讯云直播开发日记(一)
  8. Unity3d 好友管理系统
  9. Unity中一个简单的显示FPS帧率小工具
  10. 论文笔记:Multilingual Multimodal Pre-training for Zero-Shot Cross-Lingual Transfer of Vision-Language Mo