reg [7:0] mem[31:0];
这样定义一个RAM时,综合时候怎样才能使用blockRAM模块而不使用registers资源构建RAM?

(1)

由你使用mem数组的方式决定,连续使用,会自动转为bloxkRAM,如果是随机使用里面的数组内容,则会由LE综合.

(2)

两种方法:1使用工具向导生成一个,这样最直接,还能设置初始内容。2 限于对所用的FPGA内部RAM时序非常熟悉,按照那个时序用HDL语言描述一个内存。
第一中方法是官方推荐的,第2中我觉得也有可取指出,就是可以直接行为仿真并且没有很强的器件依赖性。

(3)

打开auto ram replacement 的on选项;

Assignments -> Settings -> Analysis & Synthesis Setting -> More Settings(不同软件版本可能位置略有不同,自己找找吧)

(4)

我没用过A家的,只用过X家的器件,类比的话,应有以下可能:

1、使用IPCore生成工具,直接生成BlockRAM的IP Core。
2、查手册,直接实例化一个底层元件。
3、查手册,综合器的,一般会有综合器支持的代码模板。找到BlockRAM的模板,照搬即可。
4、代码加入综合器特殊注释。

12不依赖于综合器,有器件依赖,确保你用的是想用的。
3 依赖于Block RAM的行为以及综合器,一般不会有问题。
4 依赖于综合器本身。

如果你想要综合器直接推断出来一个BlockRAM,那么你描述的RAM行为,必须符合手册中BlockRAM的行为。

FPGA设计中MEMORY型数据怎么综合到blockRAM里面(二)相关推荐

  1. FPGA设计中MEMORY型数据怎么综合到blockRAM里面

    在Synplicity综合可以在你定义数组的后面加上综合语句, 比如reg [7:0] mem[15:0] /* synthesis syn_ramstyle="block_ram" ...

  2. FPGA设计中BRAM(Block RAMs)资源的使用(综合为BRAM)

    FPGA设计中BRAM(Block RAMs)资源的使用   RAM分为BRAM(Block RAMs)和DRAM(Distributed RAM),即块RAM与分布式RAM,这两个差别在于BRAM是 ...

  3. 防止FPGA设计中综合后的信号被优化

    这不是一个新话题了,写这个也是当作自己的一个小小的笔记吧!觉得挺有用的. 一般在做前仿真(即功能仿真)时,不会考虑信号被优化的问题.最近做一个关于运算的小程序,前仿真的数据没有问题,但是实际出来的数据 ...

  4. FPGA设计中 有符号数、无符号数

    FPGA设计中 有符号数.无符号数 今天给大侠聊一聊FPGA设计中有符号数以及无符号数,话不多说,上货. 在设计中,所有的算数运算符都是按照无符号数进行的.如果要完成有符号数计算,对于加.减操作通过补 ...

  5. 在FPGA设计中怎么应用ChatGPT?

    在FPGA设计中怎么应用ChatGPT? 科技即生产力,最近,OpenAI 发布了 ChatGPT,在各大论坛和许多网站上受到了广泛关注,ChatGPT是由 OpenAI 提出的大型预训练语言模型,使 ...

  6. (130)FPGA面试题-FPGA设计中波特率和比特率的区别

    1.1 FPGA面试题-FPGA设计中波特率和比特率的区别 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA面试题-FPGA设计中波特率和比特率的区别: 5)结束 ...

  7. (139)FPGA面试题-FPGA设计中的速度和面积互换原则

    1.1 FPGA面试题-FPGA设计中的速度和面积互换原则 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA面试题-FPGA设计中的速度和面积互换原则: 5)结束 ...

  8. FPGA设计中,产生LFSR伪随机数

    今天给大侠带来在FPGA设计中,产生LFSR伪随机数,话不多说,上货. 一.概述 通过一定的算法对事先选定的随机种子(seed)做一定的运算可以得到一组人工生成的周期序列,在这组序列中以相同的概率选取 ...

  9. FPGA设计中,Vivado 调用IP核详细操作步骤

    FPGA设计中,Vivado 调用IP核详细操作步骤 今天给大侠带来了FPGA设计中,Vivado 调用IP核详细操作步骤,话不多说,手把手教学,请往下看. 首先咱们来了解一下vivado的IP核,I ...

最新文章

  1. 面向对象三大特征——继承
  2. 大智慧825 自选股 导出_互联网技术更新智慧党校迎来发展契机-威尔 WEDS-BP31_济南考勤机行情...
  3. 产品网络推广带你了解蜘蛛是依靠什么判断文章质量的?助力提升网站收录率!...
  4. jQuery源码学习视频
  5. Java中怎样遍历Map的所有数据
  6. Springboot跨域 ajax jsonp请求
  7. ElementUI中分页Pagination 样式的修改(分页组件的封装)
  8. 今年大学毕业照画风太清奇.....我忍不住笑出了声!
  9. ubuntu安装php5-mysql_Ubuntu下安装Apache2, php5 mysql
  10. ROS官网新手级教程总结
  11. 完美收官!java程序内存溢出找原因
  12. Azure China (4) 管理Azure China Storage Account
  13. 导入jasperreports出现Cannot resolve com.lowagie:itext:2.1.7.js6异常、生成PDF中文不显示中文解决方法、使用命令安装jar包
  14. 清华 计算机 学神 李凌,清华大学计算机科学与技术系 2018 年接收推荐免试.PDF...
  15. 小米系统shell_分享自用小米手机ADB命令删除系统内置应用
  16. python求数组平均值numpy_计算numpy数组的平均值
  17. Windy数 数位DP
  18. 生活中的收支明细该如何记录
  19. Jetson设备下使用docker报错的排错过程完整记录,failed to create shim: OCI runtime create failed: container_linux.go:38
  20. VisualStudio找不到Python.h

热门文章

  1. 建立UDP到虚拟串口的映射软件 : USR-VCOM,并应用到WiFi调试ESP32
  2. 将扫描字符转换成点阵信息
  3. bad cpu type in executable_【简讯】Intel将每5年重新开发一次CPU架构;华为EMUI 11曝光…...
  4. idl结果显示窗口如何缩小_科学网—IDL 创建窗口 - 钱磊的博文
  5. 插入排序c语言_玩扑克牌中插扑克牌手法就是不一样,C语言经典算法之插入排序...
  6. css中图片整合的使用,CSS Sprites:图片整合技术详细案例
  7. mysql字节对齐_结构体字节对齐-位域及其对齐
  8. 虚拟机的联网模式正确的选择
  9. python中的方法需要定义_Python中规范定义命名空间的一些建议
  10. 俄罗斯显卡测试软件,《战地1》正式版显卡测试:EA教众厂商什么叫优化