在Xilinx ISE中不同的操作都有不同的文件类型对应,例如综合、布局、布线、生成比特流等都会产生特定格式的文件,在vivado中也是一样,只不过在vivado中,文件的格式相比于ISE中更加同一。

1,.dcp文件,在ise中每个过程都会产生特定格式的文件,例如.ncd, .pcf, .ngd等等,但是在vivado中,不论是综合还是布局布线都只会产生一种格式的文件,即.dcp文件,每个阶段的.dcp文件都是下一阶段的输入文件,.dcp文件实际上包含了对应阶段处理的信息,用vivado可以直接打开,File->Open Checkpoint.

.dcp文件主要包括Physical Constraints, Device Constraints, Netlists以及device的信息,如下图所示:

从这可以看出其实.dcp文件就是ise中的网表文件和约束文件的集合,只不过在vivado中被集合在了一个文件里。

2,.xdc文件,这个是vivado的约束文件,vivado的约束文件和ise中的约束文件.ucf或者.pcf相比有很大不同,.xdc中的约束文件其实就是一系列的tcl语句,所以对于vivado中的约束文件,可以作为一个源文件放在工程里,在综合和布局布线中调用;也可以在tcl console中输入,立即执行。.xdc文件的内容大致如下所示:

[plain] view plaincopy print?
  1. ###############################################################################
  2. # Timing Constraints
  3. ###############################################################################
  4. #
  5. create_clock -name sys_clk -period 10 [get_ports sys_clk_p]
  6. #
  7. #
  8. set_false_path -to [get_pins {vc707_pcie_x8_gen2_support_i/pipe_clock_i/pclk_i1_bufgctrl.pclk_i1/S0}]
  9. set_false_path -to [get_pins {vc707_pcie_x8_gen2_support_i/pipe_clock_i/pclk_i1_bufgctrl.pclk_i1/S1}]
  10. #
  11. #
  12. create_generated_clock -name clk_125mhz_x1y0 [get_pins vc707_pcie_x8_gen2_support_i/pipe_clock_i/mmcm_i/CLKOUT0]
  13. create_generated_clock -name clk_250mhz_x1y0 [get_pins vc707_pcie_x8_gen2_support_i/pipe_clock_i/mmcm_i/CLKOUT1]
  14. create_generated_clock -name clk_125mhz_mux_x1y0 \
  15. -source [get_pins vc707_pcie_x8_gen2_support_i/pipe_clock_i/pclk_i1_bufgctrl.pclk_i1/I0] \
  16. -divide_by 1 \
  17. [get_pins vc707_pcie_x8_gen2_support_i/pipe_clock_i/pclk_i1_bufgctrl.pclk_i1/O]
  18. #
  19. create_generated_clock -name clk_250mhz_mux_x1y0 \
  20. -source [get_pins vc707_pcie_x8_gen2_support_i/pipe_clock_i/pclk_i1_bufgctrl.pclk_i1/I1] \
  21. -divide_by 1 -add -master_clock [get_clocks -of [get_pins vc707_pcie_x8_gen2_support_i/pipe_clock_i/pclk_i1_bufgctrl.pclk_i1/I1]] \
  22. [get_pins vc707_pcie_x8_gen2_support_i/pipe_clock_i/pclk_i1_bufgctrl.pclk_i1/O]
  23. #
  24. set_clock_groups -name pcieclkmux -physically_exclusive -group clk_125mhz_mux_x1y0 -group clk_250mhz_mux_x1y0

都是一些tcl语句的集合,在tcl console中直接输入这些语句也是没有问题的。

3,.xci文件,这是定制ip产生的文件,里面包含了定制的ip核的所有信息,可以通过这个文件产生需要的ip核,作用和.dcp文件差不多。ip核中也有.dcp文件,关于选择.xci文件还是.dcp文件,在vivado中的ip定制中会总结。

4,.rpt文件,这个是每个过程结束输出的一个report文件,用来记录各个过程中的一些信息,和ise中的多种输出文件格式相比,这样的统一格式显然更好一点。

0
0

Vivado开发过程中生成的主要文件相关推荐

  1. Xilinx ISE 开发过程中生成的各种文件(一)

    电路设计与输入-->功能仿真-->综合优化-->实现过程(翻译.映射.布局布线3个小步骤)-->烧写芯片,在线调试 综合优化: XST:全称为XilinxSynthesis T ...

  2. Xilinx ISE 开发过程中生成的各种文件(二)

    在ISE系列软件中,CPLD/FPGA设计的实现主要包括转换(Translate).映射(Map).布局布线(Place&Route)和时间参数提取(Timing)等几个方面. 1.转换 在转 ...

  3. FPGA开发过程中常见的一些文件后缀

    1. 前言 从FPGA的设计,仿真,综合,布局布线,嵌入式开发,烧录固化过程中的一系列文件后缀 2. 设计 .v 最基本的Verilog设计文件 .hdl VHDL设计文件 很多主流设计会定义一个.v ...

  4. 项目中生成requests.txt文件(virtualenv与pipreqs)

    相信每个人在开发项目的时候总会遇到把一个项目从一个电脑到另外一个电脑搭建环境和环境的部署的那种痛苦,如果你的项目是使用的virtualenv环境的话就可以使用pip freeze > requi ...

  5. matlab2012生成dll,64位win7下vc2010如何調用matlab2012a中生成的dll文件

    本文說是原創,但核心部分是參考http://blog.sina.com.cn/s/blog_a5fdbf0101018n91.html(大家可以先看看這篇文章),在此再次感謝debug童鞋.debug ...

  6. matlab2012生成dll,64位win7下vc2010如何调用matlab2012a中生成的dll文件

    本文说是原创,但核心部分是参考http://blog.sina.com.cn/s/blog_a5fdbf0101018n91.html(大家可以先看看这篇文章),在此再次感谢debug童鞋.debug ...

  7. 关于编译过程中生成的中间文件和库文件

    看到网上一些对库文件比较好的说法,把它整理一下: 函数和数据被编译为机器码之后存入一个二进制文件中,在使用目标文件(obj)或者静态库(lib)时,链接器从目标文件或静态库中找到这些代表函数和数据的二 ...

  8. window的文件能在linux,在Linux和Window系统中生成任意大小文件

    Windows 使用fsutil命令,Windows下一般都自带了这个命令,直接使用即可: 右键以管理员身份运行"命令提示符" 命令的格式为:fsutil file createn ...

  9. 查看使用linkedIn Camus 把Kafka中的数据导入HDFS中生成的.deflate文件

    在使用Camus好不容易把kafka中的数据导入了HDFS,但是直接download后打开,显示的会是乱码.经查询,带.deflate后缀的文件是使用DEFLATE算法压缩过的,所以要查看,只需使用h ...

最新文章

  1. Scrum模拟微信看一看“疫情专区”的敏捷开发过程
  2. MYSQL_使用外键约束(constraint)或触发器(trigger)来进行级联更新、删除
  3. 服务器统一计算系统,思科为微软扩展统一计算系统UCS服务器
  4. Consul 服务注册中心
  5. 【深度学习】Panoptic FCN:真正End-to-End的全景分割
  6. 用Python实现一个实时运动的大挂钟效果
  7. php au著h权限管理,Jirafeau:一个简洁的临时文件分享系统,可随时删除
  8. 双linux共用swap,在Linux和FreeBSD系统上共享swap空间
  9. CA虚拟环境访问控制为虚拟化撑起保护伞
  10. 评课用计算器计算机,《用计算器计算》教学反思
  11. 关于窗口跳转的一些总结
  12. 【转】请求处理机制其二:Django中间件的解析
  13. JVM监控及诊断工具GUI篇之Arthas(二):jvm相关指令
  14. Camera:双目成像原理
  15. 【Web】Webhacking.kr旧版第五题
  16. 5种经典程序化日内交易策略
  17. 常见的网站攻击以及如何防御自己的网站被恶意攻击
  18. TC-Traffic Control in Linux
  19. kaggle比赛——Jigsaw Rate Severity of Toxic Comments(NLP类型)——分析获奖模型笔记
  20. 【swagger2】Spring Boot 配置swagger2

热门文章

  1. 2021年春季学期-信号与系统-第六次作业参考答案-第九小题
  2. 使用SVD求取矩阵的伪逆
  3. 全国大学生智能汽车竞赛介绍-2020
  4. Python | [a for b in c for a in b]的用法
  5. python的认识_理解 Python 中的 *args 和 **kwargs
  6. 脚本运行java_shell脚本运行java程序jar
  7. 厦门理工学院计算机科学与技术专业,厦门理工学院计算机类(含计算机科学与技术、网络工程、空间信息与专业2016年在福建理科高考录取最低分数线...
  8. layer用ajax往jsp页面传值,layer.open中父页面向子页面传值(示例代码)
  9. linux 查看san链路状态,linux系统运行状态检查
  10. python趣味编程表白_【暖心一笑】Python趣味编程:定时给Ta讲笑话