硬件平台:ZedBoard
软件平台:vivado2013.3

本示例通过综合、实现,生成比特流,发送到SDK实现。
启动vivado并且创建一个项目
根据提示操作一步步创建新项目的时候记得选择RTL Project

板子选择ZedBoard,其他默认。

创建一个IP综合设计
在IP Integrator中选择Create Block Design

在Create Block Design弹出页,为IP子系统设计定义个名字

在IP子系统中,在中间的Diagram中选择Add IP

在搜索页,输入zynq找到ZYNQ7 Processing System IP

在Diagram页,点击Run Block Automation,然后Run Block Automation对话框打开,选择/processing_system7_1,这时,会出现一个对话框,这个IP核会自动为FIXED_IO和DDR接口创建外部连接,点击OK。

用同样的方法,我们增加外设,在Diagram右击,选择Add IP,在搜索页,输入gpio找到AXI GPIO IP,点击enter确认添加,重复上述步骤,输入axi bram添加AXI BRAM Controller;输入block加入Block Memory Generator。完成之后的窗口如下所示:

根据要求定制IP核
双击Block Memory Generator进入Re-­-customize IP界面,在Basic页,将Mode设置为BRAM Controller,Memory Type设置为True Dual Port RAM。其中AXI BRAM Controller为Block Memory Generator提供AXI内存映射接口。

通过点击连接点并拖动连线将Block Memory Generator与AXI BRAM Controller连接起来

使用Designer Assistance
Block Designer Assistance可以帮助我们将AXI GPIO和AXI BRAM Controller连接到Zynq-7000 PS。
1.点击Run Connection Automation然后选择/axi_gpio_1/s_axi将GPIO IP和BRAM Controller连接到Zynq PS上

2.再次选择Run Connection Automation,连接/axi_gpio_1/gpio,然后会弹出一个对话框,选择板子接口为leds_8bits。这一步可是配置IP核,创建一些必要的文件约束(XDC)

3.再次再次选择Run Connection Automation,选择剩下的/axi_bram_ctrl_1/S_AXI选项,这样就完成了Zynq7 PS与AXI BRAM Controller的连接。布局完成的连线如下所示:

打开Address Editor标签页,这里是我们所使用的IP的内存映射,在这里有两个IP:GPIO和BRAM Controller,一般来说Vivado会自动分配这些内存映射,我们也可以修改它,这里把AXI BRAM Controller改成64K。

保存配置(CTRL+S);
在工具栏那里,通过Validate Design按钮运行DRC(Design-Rules-Check)

如果成功会弹出成功的对话框。

生成HDL设计文件
在Sources窗口,右击顶层子系统设计选择Generate Output Products,这会生成用于结构图IP核的源文件和相关的约束文件。

还是在顶层子系统设计选择Create HDL Wrapper创建一个顶层HDL文件

完成设计并生成比特流
在Flow Navigator中,点击Generate Bitstream完成设计并生成比特流(这一步时间会很长)

在生成比特流后,选择Open Implemented Design

将硬件信息导入到SDK
在这一步,我们将硬件信息导入到SDK,这一步需要将板子电源接上,并且把PROG和UART都已经连接电脑。具体如下所示:

1.在Flow Navigator,选择Open Block激活IP综合设计。

从Vivado菜单中选择Export Hardware for SDK,

在弹出的对话框中,确保Export Hardware,Include bitstream,Launch SDK都已经选择了。

至此,硬件部分结束,开始在SDK进行软件部分的操作。

软件部分请戳:Vivado的helloword程序:软件工程部分

转载:http://www.openhw.org/module/forum/thread-644409-1-1.html

Vivado的helloword程序:硬件工程部分相关推荐

  1. ArtyA7的Hello Word创建Microblaze嵌入式系统硬件工程的问题解决

    Xilinx问题解决-Arty A7 [Timing 38-282] The design failed to meet the timing requirements. [Timing 38-469 ...

  2. stm32f103真实项目程序。c原 程序 keil工程。平台采用小米1代扫地机,目前只有32端代码能实现延边避障防跌落充电等功能。

    平台采用小米1代扫地机.stm32f103真实项目程序.c原 程序 keil工程.目前只有32端代码能实现延边避障防跌落充电等功能. 适合需要学习项目与代码规范的工程师 硬件驱动包含 陀螺仪姿态传感器 ...

  3. vivado中如何导入ise工程

    电脑中可以同时安装vivado和ise,两者不冲突. 1.打开vivado图形用户界面 2.File-->New Project 3.填好工程名字和工程路径,然后选择Imported Proje ...

  4. Xamarin iOS编写第一个应用程序创建工程

    Xamarin iOS编写第一个应用程序创建工程 在Xcode以及Xamarin安装好后,就可以在Xamarin Studio中编写程序了.本节将主要讲解在Xamarin Studio中如何进行工程的 ...

  5. Qt安装和QML HelloWord程序

    QT Win7开发环境安装配置 Qt是一个跨平台应用程序和用户界面框架,使用C + +或者QML,类似CSS和JavaScript开发语言. 它提供给应用程序开发者建立艺术级的图形用户界面所需的所用功 ...

  6. Vivado无法双击打开xpr工程文件的解决办法

    Vivado无法双击打开xpr工程文件的解决办法 之前安装的Vivado 2018.3,最近装了Vivado 2020.2,然后就没法双击打开xpr工程文件了,提示如下: Cannot locate ...

  7. 【Pre蓝桥杯嵌入式】移植LCD程序+建立工程+LCD程序分析

    文章目录 工程建立 1 MCU型号选择 2 文件夹格式 3 工程树 4 在`Option of target...`选项中选中`Debug`标签将设置改为图中所示状态后点击.`Settings` 5 ...

  8. 脱离微信,在硬件设备运行小程序?小程序硬件框架大揭秘!

    受访者 | 微信小程序硬件框架团队 采访者 | 伍杏玲 出品 | CSDN(ID:CSDNnews) 在 2017 年的微信公开课 PRO 上,张小龙谈到微信小程序的设计初衷:"我认为所有的 ...

  9. Xilinx XC7Z020双核ARM+FPGA开发板试用合集——自定义硬件工程

    本期测试板卡是一款基于Xilinx Zynq-7000系列XC7Z010/XC7Z020高性能低功耗处理器设计的异构多核SoC工业级核心板,处理器集成PS端双核ARM Cortex-A9 + PL端A ...

最新文章

  1. linux网络命令1
  2. iOS项目的目录结构和开发流程
  3. (十三)事件分发器——event()函数,事件过滤
  4. TortoiseGit 冲突和解决方案_入门试炼_07
  5. WEB安全基础-HTML相关知识
  6. 算法题1 大数字运算(输出时不显示前面多余的0)
  7. Mac 打开jupyter
  8. @开发者 争抢技术红利,百度自研 4 款人脸硬件要和大家见面了!
  9. 在线HTML标签清除工具
  10. MySQL 5.7安装(多实例)最佳实践
  11. android中ImageView、ImageButton、Button之间的区别
  12. (day 23 - 中位数 投票法 )剑指 Offer 39. 数组中出现次数超过一半的数字
  13. 关于特效表现与资源优化
  14. 华硕 Asus TUF B360M+INTEL i5+Sapphire AMD VEGA56黑苹果EFI引导文件
  15. 在线Java/C++/C语言/Python/web网页编辑器(IDE)整理
  16. 极限-快速判断变限积分的等价无穷小阶数
  17. 看雪CTF.TSRC 2018 团队赛 第一题 初世纪 writeup
  18. 尚硅谷 VUE 尚品汇项目实战问题解决方式整理(Vue3 版)
  19. IPMITOOL工具使用详解(待验证)
  20. python 频数统计_日常答疑:Python实现分类频数统计

热门文章

  1. 输入和输出移位寄存器的同步串行模式
  2. 【转】大端模式与小端模式、网络字节顺序与主机字节顺序 (经典)
  3. IE9会颠覆传统的上网模式?
  4. javascript入门·简单运算和数据类型转换
  5. SDNU 1209.磊磊的随机数
  6. 学界 | 终结吧!机器学习的数学焦虑
  7. PHP安全、Sql防注入安全汇总
  8. DB-Engines:2017 年 2 月份全球数据库排名
  9. tomcat用80port能够启动,可是浏览器不显示tomcat首页
  10. 阿里巴巴 Java 开发手册之编程规约(一)-------我的经验