计数器

  • 任务要求
  • 相关知识
    • 逻辑原理
    • 同步16进制计数器真值表
  • 编程要求
  • 源代码

任务要求

根据所学的时序逻辑及数字电路的知识完成计数器的设计,验证同步16进制计数器的功能,进一步熟悉 Verilog HDL 文本设计流程, 掌握时序电路的设计仿真和测试方法。完善计数器电路的功能描述风格Verilog HDL 代码。

相关知识

逻辑原理

计数器能记忆输入脉冲的个数, 用于定时、分频、产生节拍脉冲及进行数字运算等等。 加法计数器每输入一个 CP 脉冲, 加法计数器的计数值加一。 十六进制计数即从 0000 一直计数到 1111;当计数到 1111 时,若再来一个 CP 脉冲,则回到 0000,同时产生进位 1。
同步 16 进制计数器设计采用 if-else 语句对计数器的输出分别进行赋值,能实现对输入脉冲的计数,并具有使能和异步清零功能。

同步16进制计数器真值表

编程要求

为了完成计数的任务,完善编程模块设计代码,编写的程序要能根据不同的输入得到满足同步16进制计数器真值表的逻辑输出。

源代码

测试平台:EduCoder

module count_test(en,clk,clr,cout,outy);
input en,clk,clr;
output [3:0]outy;
output cout;
reg [3:0]outy;
always @ (posedge clk or posedge clr)
// 请在下面添加代码,完成16 进制计数器功能
/* Begin */begin if(clr) outy<=4'b0000;else if(en) begin if(outy==4'b1111)  outy<=4'b0000;else outy<=outy+1'b1;endendassign cout=((outy==4'b1111)&en)?1:0;
/* End */
endmodule

觉得有帮助的可以点个赞再走哦!!

计数器——Verilog HDL语言相关推荐

  1. 级联模60计数器(Verilog HDL语言描述)(仿真与综合)

    目录 前言 模60计数器 Verilog HDL语言描述 测试文件 仿真波形 RTL Schematic Technology Schematic 前言 看这篇文章前,推荐先看看模10计数器和模6计数 ...

  2. 模6计数器以及模10计数器(Verilog HDL语言设计)(Modelsim仿真与ISE综合)

    目录 前言 模6计数器 Verilog HDL语言描述 测试文件 仿真电路图 RTL Schematic Technology Schematic 模10计数器 Verilog HDL语言描述 测试文 ...

  3. 模24的8421BCD码计数器(Verilog HDL语言描述)(仿真与综合)

    目录 前言 原理 Verilog HDL程序设计 测试代码 仿真波形图 ISE综合后 RTL Schematic Technology Schematic 前言 本博文用Verilog HDL语言描述 ...

  4. 数字时钟计数器(Verilog HDL语言描述)(仿真和综合)

    目录 前言 主题 Verilog HDL设计代码 测试代码 仿真波形 ISE中综合 RTL Schematic Technology Schematic 前言 数字时钟计数器和我的前一篇博文:级联模6 ...

  5. spwm控制算法c语言实现,采用Verilog HDL语言与DDS技术实现SPWM控制算法的FPGA设计

    其部分程序代码如下: case(state1) A: //初始化状态 begin ADDRES1<=addr1; //时分复用第一路地址 READ_EN<=1′b1; //读使能开 NEX ...

  6. Verilog HDL 语言基础

    目录 前言 一.Verilog HDL模块基本结构 1.模块声明&端口定义&数据类型声明 二.数据类型 1.信号状态 2.整数 2.1.标准形式 2.2.补充 3.实数 4.字符串 三 ...

  7. 有限状态机设计实例之空调控制器(Verilog HDL语言描述)(仿真与综合)(附用Edraw(亿图)画状态转移图)

    目录 前言 空调控制器 简介 状态转移图如下: Verilog HDL语言描述 测试文件 仿真图 ISE综合 RTL Schematic Technology Schematic 前言 关于工具的使用 ...

  8. 通过仿真和综合认识T触发器(Verilog HDL语言描述T触发器)

    这个系列的博文已经写过了两篇,分别是通过仿真和综合认识D触发器(Verilog HDL语言描述D触发器)和通过仿真和综合认识JK触发器(Verilog HDL语言描述JK触发器),分析的方法是完全并行 ...

  9. 通过仿真和综合认识JK触发器(Verilog HDL语言描述JK触发器)

    上篇博文写了用仿真和综合来认识D触发器(通过仿真和综合认识D触发器(Verilog HDL语言描述D触发器)),这篇博文采用完全并行的方式来认识JK触发器. 让我们迅速进入正题吧. J-K触发器的Ve ...

最新文章

  1. 第三方开始菜单软件使微软 Windows 10 升级时崩溃
  2. 【翻译】理念:无冲突的扩展本地DOM原型
  3. 最新解决ora-01034:oracle not available 的方法
  4. clickhouse 子查询_Clickhouse 查询分布式表子查询 (Distributed Subqueries )
  5. 传Facebook将推出应用中心挑战谷歌搜索地位
  6. python中的变量的作用_Python中的变量作用域
  7. Web前后端笔记-vue封装http请求添加signature及后端(Java)解析并验证
  8. Map 遍历的几种方法
  9. jQuery中find和filter的区别
  10. 对于新旧技术的争论,我很low的想法
  11. Starting Programe
  12. Pano2VR制作全景漫游
  13. excel科学计数法还原成字符串方法
  14. 从PowerDesigner概念设计模型(CDM)中的3种实体关系说起
  15. 小程序弹框wx.showModal、wx.showActionSheet、wx.showToast
  16. 【Python 每日一技】根据任意分隔符分割字符串
  17. scrapy 下载壁纸(图片)详细教程
  18. /* 题目: * 打印出所有的 水仙花数 ,所谓 水仙花数 是指一个三位数,其各位数字立方和 等于该数本身。 例如: 153 是一个 水仙花数
  19. Ubuntu live系统制作方法
  20. CPLD与16C554在航空发动机参数采集器中的应用——转载

热门文章

  1. C#textBox控件保留上次输入
  2. C语言小游戏->井字棋
  3. [廖雪峰python教程切片练习题]利用切片操作,实现一个trim()函数,去除字符串首尾的空格,注意不要调用str的strip()方法。
  4. Android Studio部分汉化中文包
  5. 【白板推导系列笔记】降维-主成分分析-概率角度(Probabilistic PCA)
  6. C语言-验证哥德巴赫猜想
  7. 在线Json转Dart
  8. 神经网络实现逻辑运算,神经网络 最小二乘法
  9. WIM文件怎么安装系统Win10
  10. input框限制只能输入正整数、字母、小数、汉字