50m 分频器设计——50MHZ(含verilog程序)

分频器设计

一、实验目的

1、熟悉分频器的原理;

2、掌握采用Verilog HDL语言设计分频器的方法;

3、进一步学习利用VerilogHDL语言进行层次设计的方法。(wWW.niubb.NeT)

二、实验内容

1、采用Verilog语言设计一个十分频器,记录Verilog程序;

2、对十分频器进行功能仿真,观察仿真波形;

3、仿真没有问题后,将分频比改为50000000,实现一个50M分频器。利用此分频器和开发板上的50MHz时钟信号,得到1Hz的秒脉冲信号,完成如图1-2.28所示的秒计数器。

50m 分频器设计——50MHZ(含verilog程序)

(拨码开关)

程序设计如下:

module fenp(clk_out,clk_in,reset);

output clk_out;

input clk_in;

input reset;

reg cnt;

reg clk_out;

50m 分频器设计——50MHZ(含verilog程序)

always@(posedge clk_in or posedge reset) begin

if(reset)

begin

cnt<=0;

clk_out<=0;

end

else

begin

if(cnt==24999999)

begin

clk_out<=!clk_out;

cnt<=0;

end

else

cnt<=cnt+1;

end

end

endmodule

本程序经验证,完全可以实现实验要求 。(WwW.niubb.Net)文章来自某大学EDA实验课

50m 分频器设计——50MHZ(含verilog程序)[wWW.NIUBB.NEt]

50m 分频器设计——50MHZ(含verilog程序)

免责申明:本栏目所发资料信息部分来自网络,仅供大家学习、交流。我们尊重原创作者和单位,支持正版。若本文侵犯了您的权益,请直接点击提交联系我们,立刻删除!

verilog将100mhz分频为1hz_50m 分频器设计——50MHZ(含verilog程序)相关推荐

  1. verilog将100mhz分频为1hz_verilog—分频器设计

    常用分频器设计 (含 0.5hz . 1hz . 2hz . 100hz . 1khz . 100khz . 1MHZ ) 一. 原理图 二. 程序(输入频率为 50MHZ ) module divc ...

  2. verilog将100mhz分频为1hz_verilog—分频器设计 -

    常用分频器设计 (含0.5hz.1hz.2hz.100hz.1khz.100khz.1MHZ) 一. 原理图 二. 程序(输入频率为50MHZ) module divclk(clk,div05hz,d ...

  3. verilog将100mhz分频为1hz_使用verilog语言实现分频器 将50MHZ分为1hz和5hz

    你好,使用以下程序即可,使用时只需改变N值,N的取值大小请看注释,此程序适合对任意时钟的整数分频(包括奇偶),此程序已通过验证.根据你的情况,想得到1HZ,N取50000000即可:想得到5HZ,N取 ...

  4. verilog将100mhz分频为1hz_verilog怎么实现分频?

    满意答案 52kzw 2018.01.31 采纳率:43%    等级:10 已帮助:1724人 直接给代码给你吧!只需要把F_DIV倍修改下就行! 加分哦!呵呵 //**************** ...

  5. verilog将100mhz分频为1hz_分频程序(50Mhz-1Hz)

    数字电路课程设计功能款程序 library ieee; use ieee.std_logic_1164.all; entity fen is port (clk:in std_logic; q: ou ...

  6. FPGA中的流水线设计(含Verilog范例)

    一.流水线设计介绍 在高速通信系统设计中,如何提高系统的工作速度至关重要,通常使用的方法有两种: 1. 并行方式设计:可减少模块间的延时: 2. 流水线设计:流水线设计如同生产线一样,将整个执行过程分 ...

  7. 数字分频器设计(偶数分频、奇数分频、小数分频、半整数分频、状态机分频|verilog代码|Testbench|仿真结果)

    数字分频器设计 一.前言 二.偶数分频 2.1 触发器级联法 2.2 计数器法 2.3 verilog代码 2.4 Testbench 2.5 仿真结果 三.奇数分频 3.1 占空比非50%奇数分频 ...

  8. (95)分频器设计(偶数分频)

    (95)分频器设计(偶数分频) 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)分频器设计(偶数分频) 5)技术交流 6)参考资料 2 FPGA入门与提升课程介绍 1 ...

  9. (94)分频器设计(奇数分频)

    (94)分频器设计(奇数分频) 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)分频器设计(奇数分频) 5)技术交流 6)参考资料 2 FPGA入门与提升课程介绍 1 ...

最新文章

  1. 树莓派运行python import os未找到命令_通过pip指令在树莓派上基于Python3安装OpenCV...
  2. SAP Cloud for Customer(C4C)和微信集成系列教程
  3. 深入理解Three.js(WebGL)贴图(纹理映射)和UV映射
  4. Java比以往任何时候都摇滚
  5. java两种异常_JAVA两种异常的区别 (转)
  6. Memcached 学习---(5)set 命令
  7. QTP中实现对文本文件(txt)的读写操作
  8. 计算机专业中专排名,江西计算机专业学校排名中专
  9. Acwing第 34 场周赛
  10. 五大领域总目标指南_幼儿园五大领域总目标
  11. 收藏这16个顶级思维模型
  12. html bootstrap主题,10大的 Metro 风格的 Bootstrap 主题和模板
  13. 如何在Chrome中为Gmail启用桌面通知
  14. LaTeX 修改图片标题字体大小
  15. 互动编程习作——表现随机行为及牛顿运动学
  16. 调用opensmile编译的DLL动态库API进行声音特征提取
  17. PostgreSql 常量
  18. An unexpected error has been detected by Java Runtime Environment
  19. 饱受争议的闪电网络,能在2020年迎来大爆发吗?
  20. 人机交互系统与自动化技术

热门文章

  1. 谷歌的ie9.js ie8.js ie7.js 解决IE5、IE6、IE7、IE8与W3C标准的冲突
  2. 多看Android版本WIFI传书的实现
  3. 量子力学的群星闪耀时
  4. CentOS 7视频音频解码器问题
  5. java写键盘鼠标录制器,易语言仿按键精灵录制键盘鼠标操作的代码
  6. 从光耦到数字隔离器的“国产替代”之路
  7. C#操作mdb数据库文件
  8. matlab手写板,手写MNISTmatlab实现
  9. 洛咕11月月赛部分题解 By cellur925
  10. Mongodb基础入门(2)——增删改查等基础操作