VGA介绍
VGA(Video Graphics Array)视频图形阵列是IBM于1987年提出的一个使用模拟信号的电脑显示标准。VGA接口即电脑采用VGA标准输出数据的专用接口。VGA接口共有15针,分成3排,每排5个孔,显卡上应用最为广泛的接口类型,绝大多数显卡都带有此种接口。它传输红、绿、蓝模拟信号以及同步信号(水平和垂直信号)。

VGA接口是一种D型接口,上面共有15针孔,分成三排,每排五个。 其中,除了2根NC(Not Connect)信号、3根显示数据总线和5个GND信号,比较重要的是3根RGB彩色分量信号和2根扫描同步信号HSYNC和VSYNC针。VGA接口中彩色分量采用RS343电平标准。RS343电平标准的峰值电压为1V。VGA接口是显卡上应用最为广泛的接口类型,多数的显卡都带有此种接口。有些不带VGA接口而带有DVI(Digital Visual Interface数字视频接口)接口的显卡,也可以通过一个简单的转接头将DVI接口转成VGA接口,通常没有VGA接口的显卡会附赠这样的转接头。
大多数计算机与外部显示设备之间都是通过模拟VGA接口连接,计算机内部以数字方式生成的显示图像信息,被显卡中的数字/模拟转换器转变为R、G、B三原色信号和行、场同步信号,信号通过电缆传输到显示设备中。对于模拟显示设备,如模拟CRT显示器,信号被直接送到相应的处理电路,驱动控制显像管生成图像。而对于LCD、DLP等数字显示设备,显示设备中需配置相应的A/D(模拟/数字)转换器,将模拟信号转变为数字信号。在经过D/A和A/D两次转换后,不可避免地造成了一些图像细节的损失。VGA接口应用于CRT显示器无可厚非,但用于连接液晶之类的显示设备,则转换过程的图像损失会使显示效果略微下降。
而且可以从接口处来判断显卡是独显还是集成显卡,VGA接口竖置的说明是集成显卡,VGA接口横置说明是独立显卡(一般的台式主机都可以用此方法来查看)。

VGA显示原理:
VGA通过引脚的模拟电压(0V-0.714V)显示红绿蓝三种颜色,不同的电压值对应不同的颜色。
VGA驱动显示器用的是扫描的方式,一般是逐行扫描。
逐行扫描是扫描从屏幕左上角一点开始,从左像右逐点扫描,每扫描完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT对电子束进行消隐,每行结束时,用行同步信号进行同步;
当扫描完所有的行,形成一帧后,用场同步信号进行场同步,并使扫描回到屏幕左上方,同时进行场消隐,开始下一帧。

FPGA芯片驱动VGA显示,需要先产生模拟信号,这就要借助数模转换器D/A,利用D/A产生模拟信号,输出至VGA的RED、GREEN、BLUE基色数据线。另一种方法是利用电阻网络分流模拟D/A实现的。

VGA通信协议

VS:帧时序
帧时序的四个部分别是:同步脉冲(Sync o)、显示后沿(Back porch p)、显示时序段(Display interval q)和显示前沿(Front porchr)。其中同步脉冲(Sync o)、显示后沿(Back porch p)和显示前沿(Front porch r)是消隐区,RGB信号无效,屏幕不显示数据。显示时序段(Display interval q)是有效数据区。

HS:行时序
行时序的四个部分分别是:同步脉冲(Sync a)、显示后沿(Back porch b)、显示时序(Display interval c)和显示前沿(Front porchd)。其中同步脉冲(Sync a)、显示后沿(Back porch b)和显示前沿(Front porch d)是消隐区,RGB信号无效,屏幕不显示数据。显示时序段(Display interval c)是有效数据区。

VGA时序解析

一、VGA显示字符

代码实现

module VGA_test(
OSC_50,     //原CLK2_50时钟信号
VGA_CLK,    //VGA自时钟
VGA_HS,     //行同步信号
VGA_VS,     //场同步信号
VGA_BLANK,  //复合空白信号控制信号  当BLANK为低电平时模拟视频输出消隐电平,此时从R9~R0,G9~G0,B9~B0输入的所有数据被忽略
VGA_SYNC,   //符合同步控制信号      行时序和场时序都要产生同步脉冲
VGA_R,      //VGA绿色
VGA_B,      //VGA蓝色
VGA_G);     //VGA绿色input OSC_50;     //外部时钟信号CLK2_50output VGA_CLK,VGA_HS,VGA_VS,VGA_BLANK,VGA_SYNC;output [7:0] VGA_R,VGA_B,VGA_G;parameter H_FRONT = 16;     //行同步前沿信号周期长parameter H_SYNC = 96;      //行同步信号周期长parameter H_BACK = 48;      //行同步后沿信号周期长parameter H_ACT = 640;      //行显示周期长parameter H_BLANK = H_FRONT+H_SYNC+H_BACK;        //行空白信号总周期长parameter H_TOTAL = H_FRONT+H_SYNC+H_BACK+H_ACT;  //行总周期长耗时parameter V_FRONT = 11;     //场同步前沿信号周期长parameter V_SYNC = 2;       //场同步信号周期长parameter V_BACK = 31;      //场同步后沿信号周期长parameter V_ACT = 480;      //场显示周期长parameter V_BLANK = V_FRONT+V_SYNC+V_BACK;        //场空白信号总周期长parameter V_TOTAL = V_FRONT+V_SYNC+V_BACK+V_ACT;  //场总周期长耗时reg [10:0] H_Cont;        //行周期计数器reg [10:0] V_Cont;        //场周期计数器wire [7:0] VGA_R;         //VGA红色控制线wire [7:0] VGA_G;         //VGA绿色控制线wire [7:0] VGA_B;         //VGA蓝色控制线reg VGA_HS;reg VGA_VS;reg [10:0] X;             //当前行第几个像素点reg [10:0] Y;             //当前场第几行reg CLK_25;always@(posedge OSC_50)begin CLK_25=~CLK_25;         //时钟end assign VGA_SYNC = 1'b0;   //同步信号低电平assign VGA_BLANK = ~((H_Cont<H_BLANK)||(V_Cont<V_BLANK));  //当行计数器小于行空白总长或场计数器小于场空白总长时,空白信号低电平assign VGA_CLK = ~CLK_to_DAC;  //VGA时钟等于CLK_25取反assign CLK_to_DAC = CLK_25;always@(posedge CLK_to_DAC)beginif(H_Cont<H_TOTAL)           //如果行计数器小于行总时长H_Cont<=H_Cont+1'b1;      //行计数器+1else H_Cont<=0;              //否则行计数器清零if(H_Cont==H_FRONT-1)        //如果行计数器等于行前沿空白时间-1VGA_HS<=1'b0;             //行同步信号置0if(H_Cont==H_FRONT+H_SYNC-1) //如果行计数器等于行前沿+行同步-1VGA_HS<=1'b1;             //行同步信号置1if(H_Cont>=H_BLANK)          //如果行计数器大于等于行空白总时长X<=H_Cont-H_BLANK;        //X等于行计数器-行空白总时长   (X为当前行第几个像素点)else X<=0;                   //否则X为0endalways@(posedge VGA_HS)beginif(V_Cont<V_TOTAL)           //如果场计数器小于行总时长V_Cont<=V_Cont+1'b1;      //场计数器+1else V_Cont<=0;              //否则场计数器清零if(V_Cont==V_FRONT-1)       //如果场计数器等于场前沿空白时间-1VGA_VS<=1'b0;             //场同步信号置0if(V_Cont==V_FRONT+V_SYNC-1) //如果场计数器等于行前沿+场同步-1VGA_VS<=1'b1;             //场同步信号置1if(V_Cont>=V_BLANK)          //如果场计数器大于等于场空白总时长Y<=V_Cont-V_BLANK;        //Y等于场计数器-场空白总时长    (Y为当前场第几行)  else Y<=0;                   //否则Y为0endreg valid_yr;always@(posedge CLK_to_DAC)if(V_Cont == 10'd32)         //场计数器=32时valid_yr<=1'b1;           //行输入激活else if(V_Cont==10'd512)     //场计数器=512时valid_yr<=1'b0;           //行输入冻结wire valid_y=valid_yr;       //连线   reg valid_r;            always@(posedge CLK_to_DAC)   if((H_Cont == 10'd32)&&valid_y)     //行计数器=32时valid_r<=1'b1;                   //像素输入激活else if((H_Cont==10'd512)&&valid_y) //行计数器=512时 valid_r<=1'b0;                   //像素输入冻结wire valid = valid_r;               //连线wire[10:0] x_dis;     //像素显示控制信号wire[10:0] y_dis;     //行显示控制信号assign x_dis=X;       //连线Xassign y_dis=Y;       //连线Yparameterchar_line00=240'h010010400000000000000000000000000000000000000000000000000000,char_line01=240'h010010400000000000000000000000000000000000000000000000000000,char_line02=240'h7FFCFE780000000000000000000000000000000000000000000000000000,char_line03=240'h03801088000007F00FE000800FE007E01FFC07E007F007E00FE000800080,char_line04=240'h05407C100000081830180780301818183008181808181818301807800780,char_line05=240'h092011FC0000100038180180300C381C2010381C1000381C381801800180,char_line06=240'h3118FE240000300000180180700C300C0020300C3000300C001801800180,char_line07=240'hC10600247FFE37F000600180301C300C0040300C37F0300C006001800180,char_line08=240'h0FE07DFE0000380C01F00180382C300C0080300C380C300C01F001800180,char_line09=240'h004044240000300C001801800FCC300C0180300C300C300C001801800180,char_line0a=240'h00807C240000300C000C0180001C300C0300300C300C300C000C01800180,char_line0b=240'hFFFE45FC0000300C380C01800018381803003818300C3818380C01800180,char_line0c=240'h01007C24000018183018018038301C1003801C1018181C10301801800180,char_line0d=240'h01004420000007E00FE00FF80FC007E0030007E007E007E00FE00FF80FF8,char_line0e=240'h050054A00000000000000000000000000000000000000000000000000000,char_line0f=240'h020048400000000000000000000000000000000000000000000000000000;reg[7:0] char_bit;always@(posedge CLK_to_DAC)if(X==10'd180)char_bit<=9'd240;   //当显示到144像素时准备开始输出图像数据else if(X>10'd180&&X<10'd420)     //左边距屏幕144像素到416像素时    416=144+272(图像宽度)char_bit<=char_bit-1'b1;       //倒着输出图像信息reg[29:0] vga_rgb;                //定义颜色缓存always@(posedge CLK_to_DAC) if(X>10'd180&&X<10'd420)    //X控制图像的横向显示边界:左边距屏幕左边144像素  右边界距屏幕左边界416像素begin case(Y)            //Y控制图像的纵向显示边界:从距离屏幕顶部160像素开始显示第一行数据10'd200:if(char_line00[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;  //如果该行有数据 则颜色为红色else vga_rgb<=30'b0000000000_0000000000_0000000000;                      //否则为黑色10'd201:if(char_line01[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd202:if(char_line02[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd203:if(char_line03[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd204:if(char_line04[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000; 10'd205:if(char_line05[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd206:if(char_line06[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000; 10'd207:if(char_line07[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd208:if(char_line08[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000; 10'd209:if(char_line09[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd210:if(char_line0a[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd211:if(char_line0b[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd212:if(char_line0c[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd213:if(char_line0d[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd214:if(char_line0e[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd215:if(char_line0f[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;default:vga_rgb<=30'h0000000000;   //默认颜色黑色endcase endelse vga_rgb<=30'h000000000;             //否则黑色assign VGA_R=vga_rgb[23:16];assign VGA_G=vga_rgb[15:8];assign VGA_B=vga_rgb[7:0];
endmodule

2、VGA显示彩色条纹

代码实现

module VGA_colorbar_test(
OSC_50,     //原CLK2_50时钟信号
VGA_CLK,    //VGA自时钟
VGA_HS,     //行同步信号
VGA_VS,     //场同步信号
VGA_BLANK,  //复合空白信号控制信号  当BLANK为低电平时模拟视频输出消隐电平,此时从R9~R0,G9~G0,B9~B0输入的所有数据被忽略
VGA_SYNC,   //符合同步控制信号      行时序和场时序都要产生同步脉冲
VGA_R,      //VGA绿色
VGA_B,      //VGA蓝色
VGA_G);     //VGA绿色input OSC_50;     //外部时钟信号CLK2_50output VGA_CLK,VGA_HS,VGA_VS,VGA_BLANK,VGA_SYNC;output [7:0] VGA_R,VGA_B,VGA_G;parameter H_FRONT = 16;     //行同步前沿信号周期长parameter H_SYNC = 96;      //行同步信号周期长parameter H_BACK = 48;      //行同步后沿信号周期长parameter H_ACT = 640;      //行显示周期长parameter H_BLANK = H_FRONT+H_SYNC+H_BACK;        //行空白信号总周期长parameter H_TOTAL = H_FRONT+H_SYNC+H_BACK+H_ACT;  //行总周期长耗时parameter V_FRONT = 11;     //场同步前沿信号周期长parameter V_SYNC = 2;       //场同步信号周期长parameter V_BACK = 31;      //场同步后沿信号周期长parameter V_ACT = 480;      //场显示周期长parameter V_BLANK = V_FRONT+V_SYNC+V_BACK;        //场空白信号总周期长parameter V_TOTAL = V_FRONT+V_SYNC+V_BACK+V_ACT;  //场总周期长耗时reg [10:0] H_Cont;        //行周期计数器reg [10:0] V_Cont;        //场周期计数器wire [7:0] VGA_R;         //VGA红色控制线wire [7:0] VGA_G;         //VGA绿色控制线wire [7:0] VGA_B;         //VGA蓝色控制线reg VGA_HS;reg VGA_VS;reg [10:0] X;             //当前行第几个像素点reg [10:0] Y;             //当前场第几行reg CLK_25;always@(posedge OSC_50)begin CLK_25=~CLK_25;         //时钟end assign VGA_SYNC = 1'b0;   //同步信号低电平assign VGA_BLANK = ~((H_Cont<H_BLANK)||(V_Cont<V_BLANK));  //当行计数器小于行空白总长或场计数器小于场空白总长时,空白信号低电平assign VGA_CLK = ~CLK_to_DAC;  //VGA时钟等于CLK_25取反assign CLK_to_DAC = CLK_25;always@(posedge CLK_to_DAC)beginif(H_Cont<H_TOTAL)           //如果行计数器小于行总时长H_Cont<=H_Cont+1'b1;      //行计数器+1else H_Cont<=0;              //否则行计数器清零if(H_Cont==H_FRONT-1)        //如果行计数器等于行前沿空白时间-1VGA_HS<=1'b0;             //行同步信号置0if(H_Cont==H_FRONT+H_SYNC-1) //如果行计数器等于行前沿+行同步-1VGA_HS<=1'b1;             //行同步信号置1if(H_Cont>=H_BLANK)          //如果行计数器大于等于行空白总时长X<=H_Cont-H_BLANK;        //X等于行计数器-行空白总时长   (X为当前行第几个像素点)else X<=0;                   //否则X为0
endalways@(posedge VGA_HS)beginif(V_Cont<V_TOTAL)           //如果场计数器小于行总时长V_Cont<=V_Cont+1'b1;      //场计数器+1else V_Cont<=0;              //否则场计数器清零if(V_Cont==V_FRONT-1)       //如果场计数器等于场前沿空白时间-1VGA_VS<=1'b0;             //场同步信号置0if(V_Cont==V_FRONT+V_SYNC-1) //如果场计数器等于行前沿+场同步-1VGA_VS<=1'b1;             //场同步信号置1if(V_Cont>=V_BLANK)          //如果场计数器大于等于场空白总时长Y<=V_Cont-V_BLANK;        //Y等于场计数器-场空白总时长    (Y为当前场第几行)  else Y<=0;                   //否则Y为0
endreg valid_yr;always@(posedge CLK_to_DAC)beginif(V_Cont == 10'd32)         //场计数器=32时valid_yr<=1'b1;           //行输入激活else if(V_Cont==10'd512)     //场计数器=512时valid_yr<=1'b0;           //行输入冻结endwire valid_y=valid_yr;       //连线   reg valid_r;     always@(posedge CLK_to_DAC)beginif((H_Cont == 10'd32)&&valid_y)     //行计数器=32时valid_r<=1'b1;                   //像素输入激活else if((H_Cont==10'd512)&&valid_y) //行计数器=512时 valid_r<=1'b0;                   //像素输入冻结endwire valid = valid_r;               //连线assign x_dis=X;       //连线Xassign y_dis=Y;       //连线Y// reg[7:0] char_bit;// always@(posedge CLK_to_DAC)//     if(X==10'd144)char_bit<=9'd240;   //当显示到144像素时准备开始输出图像数据//     else if(X>10'd144&&X<10'd384)     //左边距屏幕144像素到416像素时    416=144+272(图像宽度)//         char_bit<=char_bit-1'b1;       //倒着输出图像信息reg[29:0] vga_rgb;                //定义颜色缓存always@(posedge CLK_to_DAC) beginif(X>=0&&X<200)begin    //X控制图像的横向显示边界:左边距屏幕左边144像素  右边界距屏幕左边界416像素vga_rgb<=30'hffffffffff;   //白色endelse if(X>=200&&X<400)beginvga_rgb<=30'hf00ff65f1f;   endelse if(X>=400&&X<600)beginvga_rgb<=30'h9563486251; endelse beginvga_rgb<=30'h5864928654; endendassign VGA_R=vga_rgb[23:16];assign VGA_G=vga_rgb[15:8];assign VGA_B=vga_rgb[7:0];
endmodule

2、VGA显示彩色图片

该部分使用了EP4CE6F17C8
在前面的学习中了解到图像的格式有多种,例如JPEG,BMP,PNG,JPG等,图像的位数也有单色、16色、256色、4096色、16位真彩色、24位真彩色、32位真彩色在这里插入图片描述
这几种。
VGA的驱动程序显示的格式为RGB565,我们先找到一张需要显示的彩色图片,经过处理,将该图片转化为ROM可以存储的格式,然后VGA驱动程序从ROM中读取数据,输出到VGA显示屏显示。尽量选一张小的图片,因为ROM存储空间有限。
使用BMP2Mif软件将bmp格式图片转换为hex文件

新建Quartus工程,产生ROM IP核,将生成的mif文件保存在ROM中
双击选择ROM:1-PORT

代码实现

`define vga_640_480`include "vga_para.v"module vga_ctrl(input                 clk         ,//时钟信号 //25.2MHZinput                 rst_n       ,//复位信号output  reg           vsync       ,output  reg           hsync       ,output  reg   [4 :0]  vga_r       ,output  reg   [4 :0]  vga_b       ,output  reg   [5 :0]  vga_g
);//参数定义parameter   H_SYNC_START = 1,H_SYNC_STOP  = `H_Sync_Time ,H_DATA_START = `H_Sync_Time + `H_Back_Porch + `H_Left_Border,H_DATA_STOP  = `H_Sync_Time + `H_Back_Porch + `H_Left_Border + `H_Data_Time,V_SYNC_START = 1,V_SYNC_STOP  = `V_Sync_Time,V_DATA_START = `V_Sync_Time + `V_Back_Porch + `V_Top_Border,V_DATA_STOP  = `V_Sync_Time + `V_Back_Porch + `V_Top_Border + `V_Data_Time;//信号定义reg     [11:0]  cnt_h_addr  ;//行地址计数器wire            add_h_addr  ;wire            end_h_addr  ;reg     [11:0]  cnt_v_addr  ;//长地址计数器wire            add_v_addr  ;wire            end_v_addr  ;reg     [13:0]  address     ;wire    [15:0]  q           ;reg             vga_clk     ;wire    [15:0]  data_disp   ;reg     [10:0]  h_addr      ;//数据有效显示区域行地址reg     [10:0]  v_addr      ;//数据有效显示区域场地址wire    flag_begin_h        ;wire    flag_begin_v        ;wire    flag_clear_address  ;wire    flag_enable_out2    ;assign vga_sync = 1'b0;pll   pll_inst (.areset ( !rst_n ),.inclk0 ( clk    ),.c0     ( c0     ),//50MHZ.c1     ( c1     ) //25MHZ);always@(posedge clk or negedge rst_n)beginif(!rst_n)beginvga_clk <= clk;endelse beginvga_clk <= c1;endendalways@(posedge vga_clk or negedge rst_n)beginif(!rst_n)begincnt_h_addr <= 12'd0;endelse if(add_h_addr)beginif(end_h_addr)begincnt_h_addr <= 12'd0;endelse begincnt_h_addr <= cnt_h_addr + 12'd1;endendelse begincnt_h_addr <= 12'd0;endendassign add_h_addr = 1'b1;assign end_h_addr = add_h_addr && cnt_h_addr == `H_Total_Time - 1;always@(posedge vga_clk or negedge rst_n)beginif(!rst_n)begincnt_v_addr <= 12'd0;endelse if(add_v_addr)beginif(end_v_addr)begincnt_v_addr <= 12'd0;endelse begincnt_v_addr <= cnt_v_addr + 12'd1;endendelse begincnt_v_addr <= cnt_v_addr;endendassign add_v_addr = end_h_addr;assign end_v_addr = add_v_addr && cnt_v_addr == `V_Total_Time - 1;//行场同步信号always@(posedge vga_clk or negedge rst_n)beginif(!rst_n)beginhsync <= 1'b1;endelse if(cnt_h_addr == H_SYNC_START - 1)beginhsync <= 1'b0;endelse if(cnt_h_addr == H_SYNC_STOP - 1)beginhsync <= 1'b1;endelse beginhsync <= hsync;endendalways@(posedge vga_clk or negedge rst_n)beginif(!rst_n)beginvsync <= 1'b1;endelse if(cnt_v_addr == V_SYNC_START - 1)beginvsync <= 1'b0;endelse if(cnt_v_addr == V_SYNC_STOP - 1)beginvsync <= 1'b1;endelse beginvsync <= vsync;endend//数据有效显示区域定义always@(posedge vga_clk or negedge rst_n)beginif(!rst_n)beginh_addr <= 11'd0;endelse if((cnt_h_addr >= H_DATA_START - 1) &&( cnt_h_addr <= H_DATA_STOP - 1))beginh_addr <= cnt_h_addr - H_DATA_START - 1;endelse if(address == 48*48 - 1) beginh_addr <= 11'd0;endendalways@(posedge vga_clk or negedge rst_n)beginif(!rst_n)beginv_addr <= 11'd0;endelse if((cnt_v_addr >= V_DATA_START - 1) && (cnt_v_addr <= V_DATA_STOP - 1))beginv_addr <= cnt_v_addr - V_DATA_START -1;endelse if(address == 48*48 - 1) beginv_addr <= 11'd0;endend//显示数据always@(posedge vga_clk or negedge rst_n)beginif(!rst_n)beginvga_r <= 5'b0;vga_g <= 6'b0;vga_b <= 5'b0;endelse if((cnt_h_addr >= H_DATA_START - 1) &&( cnt_h_addr <= H_DATA_STOP - 1) && (cnt_v_addr >= V_DATA_START - 1) && (cnt_v_addr <= V_DATA_STOP - 1))beginvga_r <= data_disp[15:11];vga_g <= data_disp[10: 5];vga_b <= data_disp[4 : 0];endelse beginvga_r <= 5'b0;vga_g <= 6'b0;vga_b <= 5'b0;endendassign data_disp = q;//ROM地址计数器
always @( posedge vga_clk or negedge rst_n ) beginif ( !rst_n ) beginaddress <= 0;endelse if ( flag_clear_address ) begin //计数满清零address <= 0;endelse if ( flag_enable_out2 ) begin  //在有效区域内+1address <= address + 1;endelse begin  //无效区域保持address <= address;end
end
assign flag_clear_address = address == 48 * 48 - 1;
assign flag_begin_h     = h_addr > ( ( 640 - 48 ) / 2 ) && h_addr < ( ( 640 - 48 ) / 2 ) + 48 + 1;
assign flag_begin_v     = v_addr > ( ( 480 - 48 )/2 ) && v_addr <( ( 480 - 48 )/2 ) + 48 + 1;
assign flag_enable_out2 = flag_begin_h && flag_begin_v;rom rom_inst (.address    ( address),.clock      ( vga_clk),.q          ( q      ));endmodule

总结

使用VGA显示,先弄清楚VAG显示原理,将显示屏看为N*M大小的一个坐标系,为每个坐标分配一个RGB三通道的值,也就是每个像素,行场信号扫描的速度很快,就能连成一副完整的图像。图片显示需要用到ROM来存储图片数据,在显示时,从ROM中取出数据赋给相应的RBG通道就能显示了。

FPGA实验-VGA显示相关推荐

  1. 【接口协议】FPGA 驱动 VGA 显示实验(二)实验设计部分

    目录 实验任务 实验环境 实验设计 程序设计 VGA 时序模块 模块框图 仿真波形 顶层模块 约束文件 实验任务 利用FPGA驱动VGA实现彩条显示,分辨率为800 × 600@60Hz,分别显示三种 ...

  2. 基于FPGA的VGA显示对贪吃蛇游戏的设计

    基于FPGA的VGA显示对贪吃蛇游戏的设计 摘要 目前,电子数码产品已经进入了人生活的方方面面,而大多数电子产品都依靠显示屏来传递信息,由此可见用电路对显示屏进行控制的研究有很大的实用价值和市场需求. ...

  3. FPGA学习——VGA显示

    FPGA学习--VGA显示 一.VGA原理 (一)VGA协议 (二)VGA端口结构 (三)⾊彩原理 (四)扫描原理 1.扫描方式 2.逐行扫描 3.隔行扫描 (五)⾏场信号 二.显示姓名学号 (一)实 ...

  4. FPGA实现VGA显示(三)——————单个字符显示

    这里笔者首先记录一个问题,给自己提个醒,所有的模块都有一点点的问题,在行数列数都可能有一行像素的误差,有可能是在驱动和显示模块的问题,等后面修改,这里第一次做,只要不影响显示,实现功能. 字符显示只修 ...

  5. 基于FPGA的VGA显示实验

    VGA驱动原理 信号线 定义 HS 行同步信号(3.3V 电平) VS 场同步信号(3.3V 电平) R 红基色 (0~0.714V 模拟信号) G 绿基色 (0~0.714V 模拟信号) B 蓝基色 ...

  6. FPGA实现VGA显示(六)——————多字符显示及基于fpga的“打字机”实现

    前面笔者总结了如何显示单字符,设立通过一个简单的任务来总结如何实现vga多字符显示. 实验要求 基础: 由PC通过UART发送数据在VGA显示.数据可以为字母,数字,汉字(任选10个字),VGA分为左 ...

  7. FPGA实现VGA显示(二)——————color_bar显示及方框移动(参考开拓者FPGA开发指南)

    首先感谢所有开源分享资源的博主或者机构个人,这篇主要参考开拓者FPGA开发指南.通过分析代码,理清思路. 主要分为四个模块,顶层模块,时钟分频模块,VGA显示模块,VGA驱动模块.前三个模块在任何时候 ...

  8. 【FPGA】VGA显示文字、彩条、图片——基于DE2-115

    录 一.VGA概述 1.1 简述 1.2 管脚定义 1.3 行.场时序及分辨率 二.VGA显示文字 2.1 点阵汉字生成 2.2 工程建立 2.3 引入ip核-实现特定时钟频率+不同分辨率显示 2.3 ...

  9. fpga控制vga显示黑白图片

    1 在前几次的实验中,我们了解了VGA的时序,VGA的时序感觉是非常有意思的,摄像头.LCD和VGA的时序和VGA的实现都差不多,大体的时序都是相同的.VGA接口的显示器原理其实就相当于点阵,通过控制 ...

  10. 基于FPGA的VGA显示彩条、字符、图片

    目录 一.VGA介绍 (一) VGA协议 (二) VGA端口介绍 (三) 色彩原理 (四)VGA显示原理 VGA通信协议: VGA时序解析 时钟分频 二.实现 ​1.彩条显示 2.字符显示 3.图片显 ...

最新文章

  1. sdut 3363 驴友计划
  2. java bip-39_bip39
  3. java文件损坏_java – 损坏的文件处理
  4. java多线程编程_《java多线程编程实战指南》读书笔记 -- 基本概念
  5. Winform文件下载之WebClient
  6. 爬了知乎 200 万数据,图说程序员都喜欢去哪儿工作
  7. 开源云平台 CloudStack 4.1.0 安装详解 - 3、vCenter
  8. C#:SQL查询结果生成datatable后,根据min、max查询datatable中min到max的数据,并生成新的datatable
  9. Java sychronized关键字总结(二)
  10. nagios原装配置文件
  11. 猿创征文|程序猿乘风破浪 Python Pygame 原创小游戏【源码+解析】
  12. Android ContactsProvider源码分析
  13. 三维重建开源项目汇总
  14. Win10自动更新后桌面文件不见了怎么办?
  15. 我国支付结算体系概况
  16. 吃一口肥肉之小程序牛刀小试(一)
  17. 大象跳转:解析微信内自动跳转浏览器打开URL网页的操作步骤
  18. 导致Android手机崩溃的壁纸,一张壁纸导致安卓手机崩溃作者首发声:绝非故意...
  19. Verilog语言语句介绍
  20. 高德地图api的使用

热门文章

  1. Excel工作表保护的密码破解与清除...假装自己破解密码系列?
  2. 使用Elcomsoft Advanced Office Password Recovery对Office文档进行密码恢复
  3. AMD不要靠近卡巴斯基,会变得不幸(卡巴斯基导致的蓝屏问题)
  4. python 爬虫 美女_Python3爬取美女妹子图片
  5. 静态代码自动扫描p3c的使用
  6. Ubuntu录制gif动态图
  7. ln 硬链接和软链接
  8. 特种期货开户交易权限开通认定标准
  9. python可以破解网站吗_python变相破解校园网 - 『编程语言区』 - 吾爱破解 - LCG - LSG |安卓破解|病毒分析|www.52pojie.cn...
  10. DHCP Option 82