对于初学者来说写Testbench测试文件还是比较困难的,但Modelsim和quartus ii都提供了模板,下面就如何使用Modelsim提供的模板进行操作。

Modelsim提供了很多Testbench模板,我们直接拿过来用可以减少工作量。对源文件编译完后,鼠标光标移到代码编辑窗后才会在菜单栏看到source选项,点Source->Show Language Templates然后会出现一个加载工程,接着你会发现在刚才的文档编辑窗口左边出现了一个Language Templates窗口,展开Verilog项,双击Creat Testbench会出现一个创建向导,展开工作目录添加目标文件,点击next,弹出testbench配置窗口,默认就行了,然后点击finish。一个Testbench模板就诞生了,我们就可以在此编辑窗中添加激励代码了。

本次操作软件版本为modelsim alter starter edition 6.6d.

转载于:https://www.cnblogs.com/lancersworld/p/3644192.html

关于使用ModelSim中编写testbench模板问题相关推荐

  1. ModelSim入门及Testbench编写——合理利用仿真才是王道

    在入职之前曾自学了一段时间的Verilog,后来因为工作的缘故鲜有接触,就搁置下来了.后来因偶然的机会需要参与一个CPLD的小项目,又开始从零学起,有些讽刺的是,不知道如何入手工具的我又回到EDN上翻 ...

  2. Vivado中Testbench模板(自用)

    1.背景         从ISE切换到Vivado后,一直不习惯该软件的仿真方式.因为有个大麻烦,软件无法自动生成testbench用例.即使网上有VScode搭配插件进行自动生成Testbench ...

  3. twig php代碼,有没有办法在wordpress的.twig文件中编写php代码?我试图使用.twig模板文件中的表单值发送邮件...

    有没有办法在wordpress的.twig文件中编写php代码?我正在尝试使用.twig模板文件中的表单值发送邮件. 第PAGE-SHORTEFORM.TWIG页 {% extends "b ...

  4. 米联客资料笔记FPGA篇EDA先锋工作室官方DOC常用TestBench模板Vivado基本使用

    文章目录 背景 一.米联客verilog篇笔记 1.为什么要推出vivado 2.状态机,软核的理解 3.always @的含义与 @() 4.条件运算符 5.阻塞逻辑和非阻塞逻辑混用 二.xilin ...

  5. 如何编写testbench的总结(非常实用的总结)

    1.激励的设置 相应于被测试模块的输入激励设置为reg型,输出相应设置为wire类型,双向端口inout在测试中需要进行处理. 方法1:为双向端口设置中间变量inout_reg作为该inout的输出寄 ...

  6. Verilog的常用testbench模板分享

      楼主在初学verilog的时候就一直对testbench该怎么写感到困惑,之后的学习过程中也陆陆续续地看过一些testbench文件,其中有一些其实相当于就在testbench里重写了一下要验证地 ...

  7. Modelsim中.do脚本语言基本介绍

    转载自:http://www.cnblogs.com/LJWJL/archive/2013/01/14/simulation.html 网上的关于DO文件的编写好像资料不多,比较杂,所以本人总结一下常 ...

  8. 如何使用Spring和Velocity在Java中编写HTML电子邮件

    在这篇文章中,我将介绍如何使用Spring和Velocity格式化和发送自动电子邮件. Spring仅提供创建简单文本电子邮件的功能,这对于简单的情况很好,但是在典型的企业应用程序中,由于多种原因,您 ...

  9. python中使用sys模板和logging模块获取行号和函数名的方法

    From: http://www.jb51.net/article/49026.htm 这篇文章主要介绍了python中使用sys模板和logging模块获取行号和函数名的方法,需要的朋友可以参考下 ...

最新文章

  1. ps aux详解(进程状态说明)
  2. 使用注解打造自己的IOC框架
  3. MSP430F5529实现四位数码管数字显示
  4. 【Android游戏开发之五】游戏注册界面Demo-实现两个Activity之间的切换与数据交互!...
  5. python多核多线程编程_python是否支持多处理器/多核编程?
  6. 2009年网页设计10大趋势
  7. LoadRunner11支持的浏览器小结-Loadrunner11打不开IE浏览器的问题
  8. 详细讲解C++ 类的继承
  9. Putty文件夹蓝色太暗问题
  10. Jenkins环境拓扑及部署流程
  11. Firefox 97.0.2 修复两个已遭利用的0day
  12. 网上订餐系统的SQL SERVER 2005数据库连接
  13. Unity3D之NGUI基础5.1:代码控制UISprite
  14. MPlyaer播放高清视频的说明(CoreAVC、提高速度和清晰度等问题)
  15. ug如何复制面_学习UG,要从最基础的做起,初学者必备40条技巧
  16. leetcode 左程云笔记
  17. 基恩士PLC⑤--Fault程序实例笔记
  18. 图片导入ppt后模糊_PPT另存为图片不清晰|为什么PPT导出图片不清晰
  19. 济南大雨,银座亲历(转载)
  20. 安装好maya后运行不了并弹出如图的错误

热门文章

  1. 安全和连接是IoT联网设备2大挑战
  2. datetime-时间日期模块
  3. JSP EL表达式使用
  4. grep/egrep和正则表达式汇总
  5. 深入浅出Win32多线程程序设计之线程通信
  6. ASP.NET AJAX - Timer控件之摆放位置的影响
  7. centos mysql pid_centos7 mysql The server quit without updating PID file(错误解决)
  8. 深度学习之RetinaNet
  9. 《操作系统》OS学习(五):连续内存分配 内存碎片、动态分配、碎片整理、伙伴系统
  10. 《操作系统》OS学习(一):OS相关