1、将工程移植位置后,结果报 Black Box问题。

例:[DRC INBB-3] Black Box Instances: Cell 'xillybus_ins/system_i/vivado_system_i/xillyvga_0/inst/xillyvga_core_ins' of type 'xillyvga_core' has undefined contents and is considered a black box.  The contents of this cell must be defined for opt_design to complete successfully.

在网上查找,回答基本上都是手动添加一下ngc文件可解决问题,但我添加后依然报相同错误。

删除并重新配置该IP核解决问题。

2、仿真时出现多个模块25MHZ时钟信号一直为0的现象。

查看后发现之前调用pll锁相环时采用了默认设置的复位高电平有效,因为系统的复位信号是低电平有效,直接将系统复位信号连接到了锁相环上,导致了此现象。

3、VHDL 语言编写模块调用代码时应注意各个上层模块所调用的底层模块名称需要不同,否则更换某个底层模块时容易出现其他上层模块所调用的模块也被替换掉的现象。

4、VHDL 语言编写模块调用代码时应注意各个上层模块所调用的底层模块名称需要不同,否则在配置ILA上板调试环境中添加debug信号时容易出现设置多个重复debug信号的现象,导致系统资源不足。

5、[Opt 31-67] Problem:A LUT2 cell in the design is missing a connetion on input pin 10, which is used by the LUT equation. This pin has either been left unconneded in the design or the connedtion was removed due to the trimming of unused logic. The LUT cell name is: Inst_top_XXXAnst_XXX1Anst_ XXX_toplu3_XXfitorUOinst_fito_gen/gconwfitfo.r/grt.rtigntv_or_sync_fifo.gl0.wr/gwas.wsts/DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram__2

此问题是由于某一处模块调用的输入信号为空导致的,需要给该输入信号赋给实际的输入可解决问题。

6、使用modelsim仿真时报错:

Error: hdl/XXXXX_top.vhd(1277): Type error resolving infix expression "+" as type ieee. std _logic_1164.  std_logic vector.

此错误是缺少包含运算符的库导致的,加上相应的库即可解决。

7、使用simlation仿真时出现X未知数红色波形。

大概率表示该信号是多驱动。

8、使用modelsim仿真时点击 run all 时波形无变化。

原因可能是代码逻辑有问题。

9、使用ram读取数据后数据有一个小的延迟,若用分位宽的方式截取数据需要给ram读取的数据打一拍。

10、使用Vivado对工程实现时,当出现[DRC MDRV-1]多驱动报错时,错误位置不一定是其提示的那一个信号,要往上层模块或往下层模块中查找。

11、使用Xilinx的fifo IP核的空信号产生读使能信号后发现每一个读使能信号产生了两个时钟周期的高电平,导致同一个数据读取两遍,原因是该空信号本身在读空后和非空前之间必定有两个时钟周期。

后续对读使能信号采用了边沿信号,解决了此问题。记得打一拍让使能信号要与数据同步。

12、fifo IP核复位信号直接置0后,导致fifo IP核没有产生复位信号,fifo的满信号和空信号可能一开始都是高电平,导致无法产生写进infifo的写使能信号。

后续使fifo有一段时间的复位信号,可以正常实现功能。

13、综合时报错[Synth 8-3493]

例如:module not have matching formal for component port “full”[XXX_top.vhd]:326。

“full”仅输入,没有给任何逻辑。

对输入信号进行逻辑处理可解决问题。

14、综合时报错[DRC REQP-1712]

Input clock driver:Unsupported PLLE2_ADV connectivity.

PLL IP核设置出现问题。因为从代码里可以看到我的PLL的输入信号clk是来自IBUFGDS的输出信号clk,而不是来自普通的单端时钟信号。虽然我的IBUFGDS已经把差分时钟变成了单端时钟,但是它仍然不是普通的单端时钟信号,因此需要修改PLL的clk_in的source参数。

将PLL的clk_in的source参数修改为Global buffer即可。原因就是上面所说的,clk_in端口的信号不是来自一般的单端时钟信号,也不是直接来自差分时钟信号,而是来自IBUFGDS。

新问题:锁相环产生的时钟被优化掉了。

解决:有可能设置debug会自动将时钟添加到全局时钟域中,将PLL设置恢复并重新设置debug后锁相环产生的时钟正常了。

15、综合时报错:[Synth 8-549] port width mismatch for port‘addra’:port width = 8, actual width = 9[XXX_top.vhd:60]

端口数据位宽对应不上,查看得知该ip核设置参数不正确。

修改数据位宽后解决问题。

16、实现时报错[Place 30-389]IO port“XXX”does not have an associated IO buffer driver or load.Check port connection to a vaild IO buffer.

发现是BUFG不够用。

暂时以去除XDC文件中的debug约束的方式以减少BUFG的使用。

17、Vivado使用 Debug 标记创建 ILA 调试环境并将程序下载到FPGA板子后,Vivado显示There are no debug cores。ILA无法正常启用。

ILA的输入时钟信号不正常,时钟应为差分时钟信号,但未经过差分转单端操作,直接输入给ILA,导致ILA也无法正常工作。

在代码里使用IBUFDS原语将差分时钟信号CLK_P和CLK_N转化为单端的CLK_IN。

18、在配置波形仿真时报错[USF-XSim-62]‘compile step failed with errors(s).Please check the Tcl console output or ‘D:XXXX/XXXX.sim/sim_1/behav/xsim/xvhdl.log’for more information.

根据提示打开xvhdl.log文件发现是多个顶层信号未声明,对其进行声明后解决问题。

19、跨时钟域处理中快时钟域到慢时钟域时,由于快时钟域中窄脉冲的有效脉冲宽度小于慢时钟时钟周期,使用慢时钟域直接去采集这种窄脉冲信号,有可能会采集不到。

可以采用“快时钟域脉冲扩展+慢时钟域延迟打拍”的方法进行同步。

Vivado、modelsim、VHDL错误集锦相关推荐

  1. Modelsim仿真错误

    原文地址:Modelsim仿真错误作者:SUN_403 Error: (vsim-3601) Iteration limit reached at time 2008-09-09 21:29:51| ...

  2. 在Hadoop 2.3上运行C++程序各种疑难杂症(Hadoop Pipes选择、错误集锦、Hadoop2.3编译等)

    首记 感觉Hadoop是一个坑,打着大数据最佳解决方案的旗帜到处坑害良民.记得以前看过一篇文章,说1TB以下的数据就不要用Hadoop了,体现不出太大的优势,有时候反而会成为累赘.因此Hadoop的使 ...

  3. x264代码剖析(四):vs2010编译x264错误集锦

    x264代码剖析(四):vs2010编译x264错误集锦 支持VC++平台的x264的最新版本是x264-20091006,接下来就以该版本为例分析编译运行x264过程中遇到的问题以及解决办法. 1. ...

  4. 使用Kubeadm来搭建k8s-v1.18.2(包含所有错误集锦分析)

    k8s的搭建 使用 Kubeadm来搭建master集群,⽬前所安装的版本是 v1.18.2 欢迎运维萌新大佬等进群,涵盖业务运维.应用运维.系统运维.网络运维.数据库运维.桌面运维.运维开发等,地区 ...

  5. .net开发过程中,错误集锦

    2007年7月10日 开发过程中,错误集锦. 写这个东东的目的,主要是上班那不能够上网,回家后总结出来弄到日志本里面的.顺便鄙视下移动,对厂家这么刻薄,不能上网,不能带水进去喝,最气人的是,进出大楼, ...

  6. 错误集锦:在安装docker的时候,发现无法安装(没有可用软件包 docker-ce。 错误:无须任何处理)

    错误集锦 在我使用aliyun在线源安装docker的时候,出现以下错误,无法安装docker. [root@m1zi~]#yum install -y docker-ce 已加载插件:fastest ...

  7. 51单片机实验软件proteus出现错误集锦

    51单片机实验软件proteus出现错误集锦 错误一:安装KELI和proteus后,点击仿真但是出现下面错误. 错误二:点击仿真后,源代码本来有程序后面变空白,或者出不来这个调试窗口. 错误一:安装 ...

  8. Android NDK开发(三)——常见错误集锦以及LOG使用,androidndk

    Android NDK开发(三)--常见错误集锦以及LOG使用,androidndk 转载请注明出处:http://blog.csdn.net/allen315410/article/details/ ...

  9. C语言常见困惑、错误集锦(上) ——《C陷阱与缺陷》3篇

    AndrewKoenig自己在Bell实验室时发表的论文为基础,结合自己的工作经验扩展成这本对C程序员具有珍贵价值的经典著作.写作本书的出发点不是要批判C语言,而是要帮助C程序员绕过编程过程中的陷阱和 ...

最新文章

  1. PHP的xdebug安装步骤以及遇到的坑
  2. SQL JOIN-Hash Join
  3. Bing每日壁纸API分享
  4. AliRTC 开启视频互动 “零计算” 时代
  5. 安装 PyTorch C++ API libtorch 及一个最小例子
  6. poj3041 Asteroids
  7. Learning-MySQL【4】:表的操作管理和 MySQL 的约束控制
  8. php foreach 传值还是传引用
  9. Omron 论坛软件下载连接
  10. 如何在application里面退出打开的activity
  11. 浩哥的Linux学习笔记之touch命令
  12. 日本最惨数学天才!37岁裸辞,房子被政府没收,向全村人乞讨,一家五口只能吃野菜.........
  13. VB数据库方面的知识
  14. matplotlib之绘制散点图
  15. 只转不评:112家IT公司薪水一览表
  16. 二值图像blob分析
  17. 用Latex写IEEE格式的论文时如何取消页码
  18. IT十年人生过客-二十三-不要情怀要生活
  19. java io处理_java之IO处理
  20. 做图片用的计算机配置文件,主要用于视频剪辑及图片处理,电脑该如何配置?...

热门文章

  1. 复习笔记5-nginx、rabbitmq、redis、jenkins、Docker、ELK、日志、Hadoop
  2. 机器学习——霍夫丁不等式【转】
  3. 自己实现call|bind|apply三兄dei
  4. 深度解读低门槛钱包赛道:Web3的用户入口
  5. 基于小波变换的EMG信号病人数据matlab仿真分析
  6. java两个不同对象的list合并(根据某条件)
  7. 【笔试与面试】中软国际
  8. matplotlib 中颜色、线型、标记说明
  9. IT忍者神龟之Microsoft Office Enterprise 2007 简体版 企业版 序列号
  10. Python常用对象及运算符-CFTA注册金融科技分析师一级考点