本程序实现3-8译码器的功能并级联成4-16译码器
每个3-8译码器有三个使能端

module sanba(input [2:0]A,input G1,input _G2A,input _G2B,output reg [7:0]_Y);
always @(*)
begin
if({G1,_G2A,_G2B}==3'b100)//G1、_G2A、_G2B 输入 100 时译码器才工作
begin
case(A)
3'b000:_Y=8'b1111_1110;
3'b001:_Y=8'b1111_1101;
3'b010:_Y=8'b1111_1010;
3'b011:_Y=8'b1111_0110;
3'b100:_Y=8'b1110_1110;
3'b101:_Y=8'b1101_1110;
3'b110:_Y=8'b1011_1110;
3'b111:_Y=8'b0111_1110;
endcase
end
else _Y=8'b1111_1111;
end

接下来进行级联的操作

module si(input [3:0]A,input _EN,output [15:0]_Y);
sanba u1(
.A(A),
.G1(A[3]),
._G2A(_EN),
._G2B(_EN),
._Y(_Y[15:8])
);
sanba u2(
.A(A),
.G1(1),
._G2A(_EN),
._G2B(A[3]),
._Y(_Y[7:0])
);
endmodule

测试文件:

module SITEST;
// Inputs
reg [3:0] A;
reg _EN;
// Outputs
wire [15:0] _Y;
// Instantiate the Unit Under Test (UUT)
si uut (
.A(A),
._EN(_EN),
._Y(_Y)
);
initial begin
// Initialize Inputs
A = 0;
_EN = 0;
// Wait 100 ns for global reset to finish
repeat(256)
#10 {A,_EN}={A,_EN}+1;
// Add stimulus here
endendmodule

波形图

电路图

数字电路3-8译码器相关推荐

  1. 数字电路实验 02 - | 译码器及数码管驱动芯片应用

    一.实验目的和任务 掌握3 -8线译码器.4 -10线译码器的逻辑功能和使用方法. 掌握用两片3 -8线译码器连成4 -16线译码器的方法. 掌握使用74LS138实现逻辑函数和做数据分配器的方法. ...

  2. 《嵌入式系统设计师教程 (第2版)》学习笔记

    <嵌入式系统设计师教程 (第2版).pdf>链接:https://download.csdn.net/download/AnChenliang_1002/87864728 该资源在上传时涉 ...

  3. 中控,I/O端口,继电器,红外接口,编码器,解码器,主机,名词解释

    中控,I/O端口,继电器,红外接口,编码器,解码器,主机,名词解释 中控: 中控即为中央控制系统.中央控制系统是指对声.光.电等各种设备进行集中管理和控制的设备. 它广泛应用于多媒体教室.多功能会议厅 ...

  4. 数字电路实验(一)——译码器

    1.实验步骤: 异或门过程 1. 新建,编写源代码. (1).选择保存项和芯片类型:[File]-[new project wizard]-[next](设置文件路径+设置project name为[ ...

  5. 数字电路是如何搭建的

    数字电路,用数字信号完成对数字量进行算术运算和逻辑运算的电路,由于它具有逻辑运算和逻辑处理功能,所有又称数字逻辑电路.数字电路内部集成了各种门电路.触发器,故又称为数字集成电路,门电路和触发器构成各种 ...

  6. 数字电路与逻辑设计笔记

    数字电路与逻辑设计笔记 变量和常量的关系式 根据真值表写表达式 以真值表内输出端"1"为准 第一步:从真值表内找输出端为"1"的各行,把每行的输入变量写成乘积形 ...

  7. 数字电路与微型计算机原理,电子科技大学1999年考研真题-微机原理与数字电路...

    电子科技大学1999年考研真题-微机原理与数字电路本站小编 FreeKaoyan/2018-01-22 电子科大1999微机原理与数字电路试题 考试科目:微机原理与数字电路 注:应届生作所有不加*的题 ...

  8. ewb交通灯报告和文件_数字电路基础红绿灯实验报告.docx

    数字电路基础红绿灯实验报告 题目:红绿灯控制器 指导教师:莫琳 设计人员:谭晨曦班级:电信类111班日期:XX年5月25日 目录 一.设计任务书 二.设计框图及整机概述 三.各单元电路的设计方案及原理 ...

  9. FPGA组合逻辑训练-三八译码器

    实验原理: 在数字电路中可以根据电路功能的不同分为,组合逻辑电路与时序逻辑电路. 组合逻辑电路在逻辑功能上的特点是任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状态无关. 而时序逻辑从电路特征上看 ...

最新文章

  1. assume用法及意思_英语单词Think, Suppose, Assume, Presume的区别
  2. 破旧立新,精准测试之道
  3. Android之View绘制流程开胃菜---setContentView(...)详细分析
  4. 线下实战(这次包含北京)
  5. 免费报名通道限时开启!解锁QCon「AI 时代下的融合通信技术」专场
  6. 请问spfa+stack 和spfa+queue 是什么原理
  7. 《人人都是产品经理》读后小结
  8. 免费PR转场 独特的形状过渡PR动态图形模板MOGRT免费下载
  9. simulink教程(自动控制原理)
  10. 用PhotoShop压缩PNG图片
  11. 今日不谈股市, 谈谈财政部副部长廖晓军不降个税问题
  12. 探索性因子分析法问答
  13. 开涛SpringMVC笔记
  14. MyEclipse 10.5下载地址及破解方法
  15. 常见算法之Flood Fill算法
  16. DL之GAN:HighNewTech基于计算机视觉领域GAN技术—最新黑科技之秒变宝宝——回到最初的样子
  17. 基于Landsat的地表温度反演——单窗算法
  18. 读李沐大神的文章有感
  19. 考研阅卷【现场实拍】!你的分数是这样得出的!
  20. 让 wls 拥有可视化功能

热门文章

  1. 源声|操作系统十年磨一剑,幕后的坚挺、不懈与客户第一
  2. centerandzoom 无效_Django调用百度地图api在地图上批量增加标记点
  3. 选电脑时你需要了解的一些小知识
  4. C语言 9:f(x)g(x)模式运用数学函数pow sqrt abs
  5. 稳压二极管工作原理、重要参数意义和典型电路参数计算
  6. 微软必应词典客户端的案例分析
  7. vue移动端禁止双击放大
  8. SortedMap与TreeMap的一个典型应用
  9. 实名报名超5000人!RTE2022即将开幕,声网发布RTE行业首本专业书《实时万象》
  10. devops工具-Ansible基础