Quartus Prime Pro Edition 18.0.0

Modelsim 10.6c(官方推荐版本,但我实际使用的是QuestaSim2020.1)

使用modelsim仿真Intel FPGA的DDR3时,遇到仿真器报错:

Error (suppressible): (vopt-2732).....(中间是报错的文件及行数)....Module parameter 'SYNC_RESET' not found for override.

提示的错误意思是:未找到覆盖的模块参数“ENABLE_SIM_MODEL”,去对应的文件看了一下,这个参数已经定义了,又看了一下此模块例化的模块,发现没有这个参数(不知道为什么quartus prime pro自己生成的文件居然有错误,震惊!!!),所以会报错;

上层模块调用altera_avalon_mm_bridge 时:

altera_avalon_mm_bridge #(
        .DATA_WIDTH        (32),
        .SYMBOL_WIDTH      (8),
        .HDL_ADDR_WIDTH    (16),
        .BURSTCOUNT_WIDTH  (1),
        .PIPELINE_COMMAND  (1),
        .PIPELINE_RESPONSE (1)
        .SYNC_RESET        (0)
    ) ioaux_master_bridge (

有“SYNC_RESET ”参数;

但是在altera_avalon_mm_bridge模块定义的时候没有此参数

module altera_avalon_mm_bridge
#(
    parameter DATA_WIDTH           = 32,
    parameter SYMBOL_WIDTH         = 8,
    parameter RESPONSE_WIDTH       = 2,
    parameter HDL_ADDR_WIDTH       = 10,
    parameter BURSTCOUNT_WIDTH     = 1,

parameter PIPELINE_COMMAND     = 1,
    parameter PIPELINE_RESPONSE    = 1,

// --------------------------------------
    // Derived parameters
    // --------------------------------------
    parameter BYTEEN_WIDTH = DATA_WIDTH / SYMBOL_WIDTH
)

所以在编译的时候就会报错!!

解决办法,将上层调用时传递参数那部分.SYNC_RESET        (0)注释掉就行,注意如果是最后一个,记得删除前面的逗号“,”

ModelSim仿真Intel FPGA的DDR3问题相关推荐

  1. modelsim仿真正确FPGA运行不正确的可能原因 - cm4写寄存器错

    困住整整一周了,工作进行不下去,中午偶遇导师,指导意见是有两种可能: 1.  FPGA编译器优化代码,可以考虑把综合过程中所有的warning排查一下 2.  verilog里有不可综合的语句. 又及 ...

  2. ModelSim - INTEL FPGA STARTER EDITION

    ModelSim - INTEL FPGA STARTER EDITION  使用modelsim starter版本进行仿真,提示有错误信息,但无法定位,双击也不弹出错误提示:这时需要确定可能是li ...

  3. 独立于三大FPGA平台采用modelsim仿真

    文章目录 背景 1.Modelsim对Alteral器件的独立仿真 1.1 对Quartus 的仿真 1.2 对quartus 独立仿真实际案例 1.3 保存波形文件 2.Modelsim对ISE X ...

  4. FPGA配合debussy、modelsim仿真环境搭建

    FPGA配合debussy.modelsim仿真环境搭建 一.软件环境搭建 1,modelsim. 2,debussy 二.工程环境搭建 1,生成testbench 2.生成.fsdb波形文件 第一步 ...

  5. FPGA开发技巧:Modelsim仿真.do文件详细解析 原创 特权同学

    FPGA开发技巧:Modelsim仿真.do文件详细解析 原创 特权同学 FPGA快乐学习 以<FPGA边码边学 视频教程>"Lesson06 分频计数器设计"中的si ...

  6. FPGA VHDL语言实现FIR低通滤波器,包含完整代码,可在modelsim仿真 可仿真

    FPGA VHDL语言实现FIR低通滤波器,包含完整代码,可在modelsim仿真 可仿真,可在FPGA开发板硬件实现 ID:94150683735518602yqgo

  7. Xilinx MIG DDR3 控制器 Modelsim 仿真

    项目上用到图像拼接,输入的视频流要存DDR3,做个DDR3的Modelsim仿真.软件版本用的Vivado2017.3,这个版本生成的Modelsim仿真库好像跟Modelsim10.6版本才兼容.常 ...

  8. FPGA Verilog 串口无限多字节收发+流水灯程序+ModelSim仿真

    工作环境(蓝色粗体字为特别注意内容) 1,软件环境:Windows 7.Quartus II.ModelSim SE.串口调试助手 2,硬件环境:开发板:EP2C5T144C8N核心板.USB Bla ...

  9. FPGA学习笔记(五)Testbench(测试平台)文件编写进行Modelsim仿真

    系列文章目录 一.FPGA学习笔记(一)入门背景.软件及时钟约束 二.FPGA学习笔记(二)Verilog语法初步学习(语法篇1) 三.FPGA学习笔记(三) 流水灯入门FPGA设计流程 四.FPGA ...

最新文章

  1. StartActivityForResult(中规中矩版 获得Acivity2的性别选择)
  2. C语言标准库函数getenv的实现
  3. Servlet程序自动加载
  4. Atitit.js图表控件总结
  5. RUNOOB python练习题12 找素数问题
  6. SSH、SSL与HTTPS
  7. Atitit. Attilax软件研发and开发之道 1. 基本语言 3 2. 标准化库api 3 3. Ied与代码编写 调试 3 4. ui 3 5. 通讯 3 6. 第三方库 3 7. 数据
  8. 计算机常见软件故障有哪几种,计算机常见故障可分为硬件和软件故障,具体介绍...
  9. 人人互动直播之Swoole通讯服务重启教程
  10. 四旋翼无人机避障飞行
  11. 岗位和职责和划分在测试团队中的重要性
  12. 正则表达式中$1,$2算是什么意思
  13. python爬虫 bing壁纸
  14. ThinkPhp6框架基本使用实践教程
  15. 使用阿里云发送短信验证码(JAVA实现)
  16. 【报错记录MaskRCNN】#931-> raise ValueError(error_str) ValueError: The following Variables were created w
  17. win7冒险岛java_冒险岛不兼容win7怎么办|解决win7冒险岛不兼容的方法
  18. OKHTTP 实现流式传输上传文件
  19. stm32在线升级方案
  20. python植物大战僵尸 豆约翰_python植物大战僵尸十之拖拽卡片

热门文章

  1. 教你3行Python代码,识别图片中的任意语言文字
  2. 喜讯!麦聪软件获得国际权威机构颁发的CMMI3证书
  3. 阿里云盘本地挂载CloudDrive,WebDAV手机操作Window指定目录(用于传输)
  4. 海康Visionmaster-3D工具-匹配-深度图
  5. 【软工项目组】第二十次会议(Beta版本的改进计划)
  6. ubuntu1804系统设置在哪里_Ubuntu1804(Server版)安装及使用
  7. INS - 32012
  8. 有了中值联区块链证书我们对钓鱼网站说“不”
  9. 驾校预约课程管理系统设计与实现
  10. pptp的 服务器位置,pptp有效服务器地址