Modelsim的安装及使用

  • 前言
  • 一、Modelsim的下载
  • 二、Modelsim的安装
  • 三、Modelsim的注册
  • 四、Modelsim的使用
    • (一)手动仿真
    • (二)自动仿真(混合仿真)
  • 参考资料

前言

Modelsim有几种常见的版本:SE(System Edition)、PE(Personal Edition)和OEM(Orignal Equipment Manufactuce,即原始设备制造商)。本文章是对Modelsim SE版本的安装及使用方法的介绍说明。

一、Modelsim的下载

百度网盘下载链接:
https://pan.baidu.com/s/1a9d-bq9RZmWrRV542X4IEA
提取码:ifte
说明:
本链接来自于正点原子官方资料下载

二、Modelsim的安装

1.解压压缩包

2.运行可执行程序
3.安装导向过程
①进入welcom界面

②安装位置的选择
③点击Agree

④开始安装
出现两次信息提示框,第一次提示是否在桌面建立快捷方式,点击“Yes”,第二次提示是否将Modelsim可执行文件放入Path变量,选择“Yes”时可以从DOS提示符执行Modelsim,这里我们选择“Yes”

⑤自动安装完成,选择No

⑥选择Done

三、Modelsim的注册

具体过程请参考下面链接:
【FPGA——工具篇】:Modelsim SE-64 10.4下载、破解、安装过程

四、Modelsim的使用

步骤

  • 新建工程
  • 编写Verilog文件和Testbench仿真文件
  • 编译工程
  • 启动仿真器并加载设计顶层
  • 执行仿真

(一)手动仿真

直接使用ModelSim软件进行仿真
1.建立Modelsim工程并添加仿真文件
在使用Quartus创建LED流水灯工程的“sim”文件夹下新建文件夹“tb”,然后启动Modelsim软件,在Modelsim中选择File->Change Directory,在弹出的对话框中选择目录路径为刚才新建的tb文件夹。在Modelsim中建立project,选择File->New->Project,在弹出对话框中,输入相应的信息,就选择OK。

界面出现Create New File(创建新文件)、Add Existing File(添加已有文件)、Create Simulation(创建仿真)和 Create New Folder(创建新文件夹)。这里首先选择“Add Existing File”(添加已有文件),找到“flow_led.v”文件

接着选择“Create New File”(创建新文件),输入相应的信息

最后,关闭Add items to the Project
双击“flow_led_tb.v”文件,添加下面代码并保存

`timescale 1ns/1ns // 定义仿真时间单位1ns和仿真时间精度为1nsmodule flow_led_tb(); // 测试模块//parameter define
parameter T = 20; // 时钟周期为20ns//reg define
reg sys_clk; // 时钟信号
reg sys_rst_n; // 复位信号//wire define
wire [3:0] led;//*****************************************************
//** main code
//*****************************************************//给输入信号初始值
initial beginsys_clk = 1'b0;sys_rst_n = 1'b0; // 复位#(T+1) sys_rst_n = 1'b1; // 在第21ns的时候复位信号信号拉高
end//50Mhz的时钟,周期则为1/50Mhz=20ns,所以每10ns,电平取反一次
always #(T/2) sys_clk = ~sys_clk;//例化flow_led模块
flow_led u0_flow_led (.sys_clk (sys_clk ),.sys_rst_n (sys_rst_n),.led (led ));endmodule

说明:
为了便于我们仿真,这里我们需要稍微改动一下“flow_led.v”文件的代码,将计时器counter的最大计时值设为10
else if (counter < 24’d10)
counter <= counter + 1’b1;

2.编译仿真文件
在菜单栏【Compile】中找到这两个命令,也可以在快捷工具栏或者在工作区中的右键弹出的菜单中找到这两个命令

3.配置仿真环境
在ModelSim菜单栏中找到【Simulate】→【Start Simulation…】菜单并点击,在出现的标签中,将Design标签页面中选择work库中的flow_led_tb模块,在Optimization一栏中取消勾选(注意一定要取消优化的勾选,否则无法观察信号波形),然后点击【OK】就可以开始进行功能仿真了,其他标签保持默认

右键单击“u0_flow_led”,选择“Add Wave”选项

选择仿真时间为1ms,单击右边的运行按钮

仿真效果

(二)自动仿真(混合仿真)

通过其它的EDA工具如Quartus II调用Modelsim进行仿真
1.选择EDA仿真工具
打开Quartus II工程,在菜单栏中找到【Tool】→【Options】按钮,打开的页面左侧我们找到“EDA Tool Options”点击,添加Modelsim路径,点击【OK】

【Assignments】→【Settings】按钮,在打开的页面左侧我们找到“EDA Tool Settings”点击,将“Simulation”设置成“ModelSim、Verilog HDL”,点击【OK】

2.编写TestBench
选择【Processing】→【Start】→【Start TestBench Template Writer】按钮,会生成一个flow_led.vt文件,对其内容进行一些修改,保存并改名为flow_led_tb.vt

2.配置仿真环境
【Assigement】→【Settings】按钮并打开,在打开的页面中,我们找到左侧的Simulation点击,选中“Compile Test bench”,然后单击后面的【Test Benches】按钮,接着单击【New】按钮,则会出现如下图所示的“New Test Bench Settings”窗口,输入相应的信息,单击【Add】按钮添加到最下面的列表


3.运行RTL仿真(功能仿真)
选择【Tools】→【Run Simulation Tool】→【RTL Simulation】按钮,自此仿真就会自动完成。

参考资料

正点原子相关资料链接
http://www.openedv.com/docs/boards/fpga/zdyz_dafenqi.html

Modelsim SE版本的安装及使用方法相关推荐

  1. Modelsim SE 10.4a安装

    作为"家里蹲大学"奇观之一,当然少不了在家做课程设计了.这次用到了Modelsim. Modelsim SE 10.4a下载链接 提取码:llp1 Modelsim是一款专业的HD ...

  2. scratch各种手机、、网页版、电脑版本免费安装教程-指导方法

    目前scratch官方没有scratch手机版 平替手机软件叫scratch启蒙,不是官方软件,里面有部分广告,但可以作为练习用,还是挺不错的 安卓手机版下载流程: https://webcdn.m. ...

  3. Modelsim SE 安装及使用

    Modelsim SE 安装及使用 一.Modelsim下载及安装 1. 下载 2. 安装 3. 注册 参考 一.Modelsim下载及安装 1. 下载 百度网盘:https://pan.baidu. ...

  4. CentOS7安装软件包的方法

    文章目录 一.rpm安装 1.查看系统中已安装的软件包 2.查看软件包的安装文件 3.安装/升级软件包 4.删除软件包 二.yum安装 1.yum的语法 2.yum的常用命令 3.示例 三.应用经验 ...

  5. linux 软件包的安装,linux安装软件包的方法

    Linux有多种发行版本,各种发行版本之间安装软件包的方法和命令不一样,同发行版本之间安装软件包的方法也有不同.Linux主要有三大派系:红帽子派系(Redhat.Centos.Oracle Linu ...

  6. 安装Quartus ii 20版本步骤以及Modelsim SE 10版本配置步骤

    安装Quartus ii 20版本步骤以及Modelsim SE 10版本配置步骤 网盘链接:https://pan.baidu.com/s/1U_sjhdvSKYLSzcmnyZOBBw 提取码:x ...

  7. Quartus调用Modelsim SE避免重复编译Altera器件库的方法

    最近用Quartus 15.0配合Modelsim SE 10.4的64位版本,简直就是闪电一般的仿真速度.但是众所周知,SE版本最大的问题就是每次由Quartus自动调用时,都要重新编译所使用的器件 ...

  8. Ubuntu 22.04 LTS安装Modelsim SE 2020.4

    前言 教程中的部分内容参考自易特创芯论坛,鉴于论坛相关资料有些零散.安装步骤繁琐或是存在错误.部分原理性问题未解释清楚人云亦云.导致为入门者带来了困难等原因,为此梳理出一篇相对完整的教程 准备工作 · ...

  9. 手把手教你安装Modelsim SE 6.5g

    软件版本:Modelsim SE 6.5 计算机环境:win7 32位 1.在安装之前要准备好Modelsim SE 6.5g的安装包,如下所示: 2.Modelsim6.5g目录下有安装程序和破解文 ...

最新文章

  1. git、github、gitlab、gitee都是什么?
  2. boost::hana::fold_right用法的测试程序
  3. requireJS对文件合并与压缩(二)
  4. [postgresql] postgresql 安装
  5. OllyDBG 入门之四--破解常用断点设
  6. mysql索引之二级索引学习总结
  7. JSON和JSONP的差别,以及用法
  8. Hadoop 在 windows 7 64位的配置(一)|非cygwin
  9. jsp加载常量的探讨
  10. iOS - Analyze 静态分析
  11. Linux 2.6内核启动传递命令行的过程分析
  12. Ember Model
  13. 黑苹果10.14版本n卡安装以及声卡驱动
  14. 明尼苏达量表结果分析_MMPI明尼苏达多项人格测验量表分析
  15. python:微信支付链接转化成图片(data格式、PNG格式)
  16. python中remove函数的用法_Remove函数用法
  17. 编辑PDF文档内容有哪些方法
  18. 关于swfobject.js详解
  19. 有 ABCD 四个人要在夜里过一座桥,他们通过这座桥分别需要耗时 1、2、5、10 分钟,现在只有一支手电,过桥时必须带有手电,并且同时最多只能两个人一起过桥。请问如何安排能够让四个人尽快都过桥。
  20. wr720n刷成网络打印_OPENWRT for TP-LINK TL-WR720N 4M-8M固件,含NAS、3G、Printer,支持3070和8187网卡 20120906 - V2EX...

热门文章

  1. 公众号发送模板消息40165错误
  2. 《三体》全文 link
  3. 使用layui的table样式出现td标签的padding属性消不掉
  4. 太阳崇拜---64幅由算法生成的八芒星图像
  5. Java基础能力精选文章合集200篇
  6. HDU - 1597 find the nth digit 【找规律】
  7. Arduino 提高篇 1 —— 电子时钟
  8. Python语言程序设计 1
  9. 民生银行直销银行手机登陆加密算法的分析
  10. 【深度剖析HMM(附Python代码)】2.隐马尔科夫链HMM的EM训练过程