为什么我要学完数字后端学验证呢?

不过是因为在找实习的时候发现,后端的岗位比较少少,而且笔试面试的时候,发现,大厂比较喜欢综合的人才。

总之,为了增强竞争力,多学一点没坏处!

学习的课程:

  • 路科验证V0(ing)
  • 。。。。

芯片验证V0系列课程-带你了解芯片验证-【路科验证】-路桑亲授

练习:

牛客网systemVerilog练习

建议初学者先从V0学,进阶课有点(非常)难,不是我菜的原因。



1、了解数字芯片验证是什么

(1)浅谈数字IC验证

(2)路科V0的验证概率(√)

  • 什么是验证
  • 验证的任务与目标
  • 验证的周期
  • systemVerilog及UVM概述

2、路科V0的学习

我没有按照课程顺序学习,以下根据我对自己学习程度的理解,安排的学习。仅供参考

systemVerilog基础学习 

  • 基本类型
  • 数组
  • 类和包
  • 随机约束
  • 线程控制
  • 验证量化
  • 断言(assertion)(未开始)

验证环境 

  • 验证环境结构
  • 验证环境组件

2020春 V0线上慕课班 SV实验指导 

  • SV实验1指导
  • SV实验2指导
  • SV实验3指导
  • SV实验4指导
  • SV实验5指导
  • SV实验6指导
  • 验证结构及编译仿真步骤

2022秋 西电研究生选修课程《SV系统验证技术》

  • 断言1
  • 断言2
  • 断言3
  • 断言4

 测试平台实现

  • 验证环境——硬件设计描述
  • 测试平台实现

2022秋 西电研究生选修课程《SV系统验证技术》

  • Tcl基础入门1
  • Tcl基础入门2
  • Tcl脚本应用


检验自己的学习systemVerilog成果:

牛客网systemVerilog练习

数字ic验证学习ing相关推荐

  1. 数字IC验证学习(二)

  2. 数字IC验证学习(一)

    一.数据类型 1.logic logic类型只能有一个驱动.使用wire和reg的地方均可使用logic,但如双向总线等有多个驱动的地方,则不可使用logic. 2.二值逻辑 对于二值逻辑变量与DUT ...

  3. 数字IC设计学习笔记_Formality 形式验证

    数字IC设计学习笔记 Formality 形式验证 1. 基本特点 2. Reference Design 和Implementation Design 3. container 4. 读入共享技术库 ...

  4. 【数字IC验证快速入门】14、SystemVerilog学习之基本语法1(数组、队列、结构体、枚举、字符串...内含实践练习)

    导读:作者有幸在中国电子信息领域的排头兵院校"电子科技大学"攻读研究生期间,接触到前沿的数字IC验证知识,旁听到诸如华为海思.清华紫光.联发科技等业界顶尖集成电路相关企业面授课程, ...

  5. 【数字IC验证快速入门】1、浅谈数字IC验证,了解专栏内容,明确学习目标

    导读:作者有幸在中国电子信息领域的排头兵院校"电子科技大学"攻读研究生期间,接触到前沿的数字IC验证知识,旁听到诸如华为海思.清华紫光.联发科技等业界顶尖集成电路相关企业面授课程, ...

  6. FPGA可以转行数字IC验证吗?

    近期在知乎上看到过一个问答"入职做fpga,后续是否还可以转数字ic设计或者DFT?"浏览量高达24,361,看来有很多小伙伴关心这个问题,今天就来和大家唠一唠FPGA转行的问题. ...

  7. 【数字IC验证快速入门】45、UVM项目实践之APB_SPI(13)UVM 验证方法学总结

    导读:作者有幸在中国电子信息领域的排头兵院校"电子科技大学"攻读研究生期间,接触到前沿的数字IC验证知识,旁听到诸如华为海思.清华紫光.联发科技等业界顶尖集成电路相关企业面授课程, ...

  8. 【数字IC验证快速入门】11、Verilog TestBench(VTB)入门

    导读:作者有幸在中国电子信息领域的排头兵院校"电子科技大学"攻读研究生期间,接触到前沿的数字IC验证知识,旁听到诸如华为海思.清华紫光.联发科技等业界顶尖集成电路相关企业面授课程, ...

  9. 【数字IC验证快速入门】22、SystemVerilog项目实践之AHB-SRAMC(2)(AHB协议基本要点)

    导读:作者有幸在中国电子信息领域的排头兵院校"电子科技大学"攻读研究生期间,接触到前沿的数字IC验证知识,旁听到诸如华为海思.清华紫光.联发科技等业界顶尖集成电路相关企业面授课程, ...

最新文章

  1. Linux下docker安装配置oracle,oracle创建用户并远程连接,实测可用!
  2. httpclient开发
  3. python之celery简单使用
  4. SAP Fiori Elements - object detail batch roundtrip triggered by binding property in embedded xml vie
  5. 牛刀小试:使用Reactive Extensions(Rx),对短时间内多次发生的事件限流
  6. 浏览器打不开python的页面_robotframework,selenium启动不了打不开浏览器的问题访问不了网页...
  7. php序列化函数输入0存不了,php序列化函数的区别
  8. python爬取58同城房子发布的手机号码_python爬虫:爬取58同城武汉地区商品房信息(最后碰到了58同城的反爬机制,吓得我不敢说话···)...
  9. java安卓开发软件及环境配置
  10. OSM地图本地发布-如何生成各省市矢量地图
  11. 大厂必背 MySQL最新面试题 - MySQL数据库优化
  12. 多媒体知识,手机电脑设备联用
  13. filezilla里怎么解决中文乱码
  14. 我经常和面试者聊的一个题目
  15. 读文章《新阶级论:寒门难贵,豪门难收》
  16. 黄金实盘点评:价格不宜回调过深
  17. 数电实验三 数据选择器及其应用 任务一:用74151芯片采用降维的方法实现F=ABC+ABD+ACD+BCD; 任务二:用74151芯片采用降维方式实现F=BCD反+BC反+A反D;
  18. 键盘调节台式计算机声音,键盘打字音效怎么设置 让键盘打字发出机械键盘声音方法...
  19. Centos 更换静态IP脚本
  20. Duplicate Net Names Wire AVCC

热门文章

  1. 数据可视化项目【三】数据切换效果模块
  2. Win系统 - 色域、IPS、TN傻傻分不清楚?
  3. uncooked 计算机术语,物流专业英语和计算机基础练习题[1.doc
  4. 详解ORACLE数据库的分区表
  5. 4.9抽奖 | 实验室攻防平台邀请码
  6. int 字节在32位 64位系统的区别
  7. 【自定义view】android重力小球
  8. 我是如何从屌丝程序员逆袭成为大厂总监的?
  9. 题-宵暗的妖怪(dp)
  10. 苹果手机怎么把游戏隐藏在计算机,苹果手机隐藏了游戏怎么找出来