数字IC设计学习笔记

Formality 形式验证

1. 基本特点
2. Reference Design 和Implementation Design
3. container
4. 读入共享技术库
5. 设置Reference Design
6. 设置Implementation Design
7. 保存及恢复所做的设置
8. 运行verify 命令

1. 基本特点:

Synopsys Formality 是形式验证的工具,你可以用它来比较一个修改后的设计和它原来的版本,或者一个RTL 级的设计和它的门级网表在功能上是否一致。

在IC设计中通常用于进行不同步骤的netlist的比较:逻辑综合netlist,floorplannetlist,placement netlist ,CTSinserted netlist,P&R netlist,在每一个步骤后都有新的逻辑加入到netlist中,但是这个新的逻辑的加入不能改变原netlist的l逻辑功能。

2. Reference Design 和Implementation Design:

形式验证的过程中涉及到两个设计:一个是标准的、其逻辑功能符合要求的设计,在Synopsys 的术语中称之为Reference Design;另一个是修改后的、其逻辑功能尚待验证的设计,称之为Implementation Design

3. container:

我们可以把container 理解为Formality 用来读入设计的一个空间,或者说一个“集装箱”,一般情况下要建立两个container 来分别保存Reference Design 和Implementation Design

4. 读入共享技术库:

在开始验证流程之前,首先要读入所有的会被用到的共享技术库

5. 设置Reference Design:

  • 1)建立一个新的container;
  • 2)读入门级网表;
  • 3)确认该设计为Reference Design;
  • 4)链接Reference Design;

6. 设置Implementation Design:

  • 1)建立一个名为impl 的container,读入clk_insert1.v 文件;
  • 2)确认Implementation Design;
  • 3)链接该设计;
  • 4)把该设计设置为当前设计,然后把其中的test_se 端口设置为0

7. 保存及恢复所做的设置

8. 运行verify 命令

Formality 将根据所作的设置,对ref 和impl 中的两个设计进行验证。

相比于动态仿真的优势在于:

  • 不需要开发验证pattern
  • 速度比较快
  • 覆盖率100%
  • 纯逻辑上的验证,不考虑物理和timing信息

缺点在于:

  • 由于不考虑timing,因此需要配合STA工具使用。

— 转载内容源自知乎用户Amen, Thanks^^:https://zhuanlan.zhihu.com/p/73307269

【注】:个人学习笔记,如有错误,望不吝赐教,这厢有礼了~~~


数字IC设计学习笔记_Formality 形式验证相关推荐

  1. 数字IC设计学习笔记_静态时序分析STA_ STA基本概念

    数字IC设计学习笔记 STA基本概念 1. STA基本概念 2. 时序弧概念 Timing Arc 3. 建立时间和保持时间概念 4. 时序路径概念Timing Path 5. 时钟域概念clock ...

  2. 数字IC设计学习笔记_静态时序分析STA_ PrimeTime 基本概述

    数字IC设计学习笔记 PrimeTime 基本概述 1. PrimeTime 基本概述 2. 运行模式 1. PrimeTime 基本概述 PrimeTime,Synopsys公司提出的,针对于复杂的 ...

  3. 数字IC设计学习笔记_静态时序分析STA_伪路径False Paths

    数字IC设计学习笔记 半周期路径Half Cycle Path 1. 伪路径False Paths 2. 如何处理False Paths 1. 伪路径False Paths 在设计中,有些路径是不可能 ...

  4. 数字IC设计学习笔记(一)——逻辑综合简介

    目录 综合的抽象层次 逻辑级(RTL)综合流程 参考资料 综合的抽象层次 根据不同的抽象层次,综合可分为电路级综合.逻辑级综合.行为级综合 电路综合将电路的逻辑翻译成满足性能要求的晶体管网表,包括使用 ...

  5. Cadence IC设计学习笔记一

    Virtuoso Schematic Editor学习 启动 建立新库.新单元及新视图 添加元件 添加管脚 添加电源和地 摆放元件并加网线 层次化设计-symbol生成 电路仿真 创建激励信号电路模块 ...

  6. 数字IC前端学习笔记:LSFR(线性反馈移位寄存器)

    引言 LSFR(线性反馈移位寄存器)用于产生可重复的伪随机序列PRBS(Pseudo-Random Binary Sequence),结构包括n级D触发器和一些异或门(或同或门)组成,在每个时钟沿,后 ...

  7. 模拟IC设计学习笔记(1)---恒流源负载的共源极放大器极点的观察与计算

    一.电路设计 1.恒流源负载的共源极放大器模型 2.在本次电路仿真中我们设定的参数: pmos:W=L=5um nmos:W=L=2um 输出点放置1pF电容(较大,即忽略两个MOS管的漏端电容)  ...

  8. 2023 数字IC设计秋招复盘——数十家公司笔试题、面试实录

    最新更新日期:2023.04.02 1 目录/更新记录 1.1 笔试复盘篇 按笔试的时间顺序进行更新.备注:笔试时间可能会与实际时间有偏差. 序号 公司 岗位 链接 笔试时间 发布时间 0 禾赛 FP ...

  9. 笔试题-2023-燧原-数字IC设计【个人解答版】

    回到首页:2023 数字IC设计秋招复盘--数十家公司笔试题.面试实录 推荐内容:数字IC设计学习比较实用的资料推荐 题目背景 笔试时间:2022.07.07 应聘岗位:数字IC设计 题目评价 难易程 ...

最新文章

  1. tensorflow实现手写数字识别(MNIST)
  2. python3 readline,Python3:readlines 或者 enumerate 是否会导致文件流为空
  3. why debug log could not be displayed in console
  4. Spring @Order批注
  5. oracle 10g冷备份恢复处理详细步骤
  6. python文件,字符串,二进制的读写
  7. CSS3 弹性布局盒模型 Flex布局
  8. Spring-tx-TransactionDefinition/TransactionAttribute
  9. 软件架构入门,看这篇就够了···
  10. 第 7 章 Neutron - 077 - 配置 linux-bridge mechanism driver
  11. CQF笔记M1L2二叉树模型
  12. RStudio的常用快捷键
  13. flask----后续
  14. python创建一个国际象棋棋盘,Jupyter Notebook:实现国际象棋棋盘
  15. Matplotlib的一些常规操作
  16. java机票实时比价系统计算机毕业设计MyBatis+系统+LW文档+源码+调试部署
  17. 【慕课网】Web学习笔记———CSS3 (一)
  18. php职业发展路径是什么意思,如何找准职业发展路径
  19. 凯勒姆机器人系统_苏州凯勒姆机器人系统工程有限公司
  20. 宝塔面板上行下行,总发送总接收是什么意思?

热门文章

  1. 解决迅雷极速版强制升级-20190715
  2. Flash Tech: this.el_.vjs_getProperty is not a function video.js
  3. 习丽妞 编程系统 诞生了
  4. 阿里云云.速成美站和云.企业官网建站介绍
  5. php 实现每日持续签到,累计签到,送积分
  6. UE4制作插件的插件神器pluginCreator
  7. 2022.11.27一周总结
  8. 解读:小比尔 · 福特认为特斯拉的成功并非因为马斯克
  9. 粉笔公考——常识专项课——民法典
  10. 鼠标驱动之-sys节点-input子系统