Vivado FPGA设计基础操作流程

当然在介绍的过程当中会给大家推荐一些对于工具深入使用的Xilinx官网资料。这里以流水灯的控制为例。

Vivado的基本使用

(一) 打开Vivado新建工程:

1. 安装好Vivado之后,在Windows系统 Start > All Programs > Xilinx Design Tools > Vivado> Vivado ,或者桌面上找到如下图标,点击打开Vivado。

2. 点击Create Project

3. 指定工程所放路径与工程名字

4. 选择RTL Project ,此时把Do not specify at this time勾上,表示在新建工程时不去指定源文件。

5. 选择器件或者板卡。Parts表示器件,当然如果是板卡就点击Boards。器件可以根据系列去选,也可以直接在Search栏搜索器件型号。器件的选择根据你的FPGA芯片来定,一般在你所用的开发板手册里面可以找到。选择完毕点击Next。

6. 确认整个工程信息后,点击Finish,完成工程创建。

(二)新建Verilog文件:

1. 在Flow Navigator界下点击 Add Sources.

2. 如下界面,第一个是添加或者新建XDC约束文件;第二个是添加或者新建设计文件;第三个是添加或者新建仿真文件。这里选择添加或者新建设计文件,再点击Next。

3. 这里点击Create File。Add Files表示添加已有的设计文件,Add Directories表示添加文件夹。

4. 指定文件名与路径(默认存放在工程默认路径),文件类型(File type)选择为Verilog,如果你用的是其它硬件描述语言,则选择你用的语言类型。

5. 在之后跳出的框依次点击OK,Finish,Yes。这就完成了一个设计文件的新建。

6. 在Source框双击刚刚新建的文件,完成你的Verilog代码设计,并保存。

重复上述操作完成对clk_gen.v(分频模块)和led_top.v(顶层模块)文件的设计与编码。

(三) 查看 Schematic:

1. 在Flow Navigator 下点击RTL ANALYSIS -> Open Elaborated Design -> Schematic

2. 一会儿就会弹出你所设计的Verilog的原理图。点击原理图里模块上的+号,可以将此模块的内部电路展开。

大家可以参考官网文档 "Vivado Design Suite User Guide: Using the Vivado IDE (UG893)"去进一步了解Schematic的使用。

(四)添加TB文件,做功能仿真:

在完成RTL设计之后,我们先需要对其做仿真来验证其功能的正确性。这里先需要添加testbench文件,来给设计输入测试激励。

1. 添加testbench文件。在Flow Navigator界面下点击 Add Sources -> Add or create simulation sources -> Create File,则会出现如下界面,选定文件名(File name),文件类型(File type)。接下来依次点击OK,Finish, OK完成文件的创建。

2. 在Sources框找到刚新建的tb文件,双击进行编辑,完成编辑后保存。编辑完毕,则如下图所示。

3. 在Flow Navigator界面下点击 Run Simulation -> Run Behavioral Simultion。如果代码没有错误,则一会儿将会在右边出现如下图所示的波形窗口。

4.  在Scope框里,选择模块,其内部信号显示在其右边的Objects框里,可以右击选择Add to wave window,将其添加到波形显示窗口。界面最上方红框框出来的几个按钮从最左边到右边三个分别是 “从新开始运行仿真”, “一直运行仿真”,“运行设定时间长度的仿真(如图设定的时间为1us)”。每新添加信号后都需要从新运行仿真。

运行仿真是为了确认RTL设计的功能的正确性,对于仿真的深入了解可以参阅官网资料“Vivado Design Suite User Guide: Logic Simulation (UG900) ” 和 “Vivado Design Suite Tutorial: Logic Simulation (UG937)”。

(六)   添加管脚约束

添加管脚约束,添加管脚约束可以选用XDC文件的方式(参考官方文档 “Vivado Design Suite User Guide Using Constraints (UG903 )”),也可以采用界面化的方式。这里给大家介绍界面化的方式。

(五)Synthesis(综合)

直接在Flow Navigator界面下点击 Run Synthesis后点击OK即可。

Synthesis完毕后就会跳出如下信息框。选择Open Synthesized Design后点击OK。

对于Synthesis的其它一些设置选项等等,大家可以参考官网文档 “Vivado Design Suite User Guide:Synthesis (UG901)”。

(六)   添加管脚约束

添加管脚约束,添加管脚约束可以选用XDC文件的方式(参考官方文档 “Vivado Design Suite User Guide Using Constraints (UG903 )”),也可以采用界面化的方式。这里给大家介绍界面化的方式。管脚约束是为了将设计的输入输出引脚映射到FPGA芯片的引脚上。

2. 之后在I/O Ports给I/O端口分配引脚。Package Pin就是对应的FPGA芯片的引脚。其中clk接外部晶振输入,rts_n接外部复位按钮,led_o接led灯。

(七)Implementation(实现)

引脚分配完毕之后,在Flow Navigator界面下点击Run Implementation

Implementation完毕之后会出现如下弹框。点击OK则会打开Implementation之后的设计。

对于Implementation的其它一些设置选项等等,大家可以参考官网文档 "Vivado Design Suite User Guide: Implementation (UG904)"。

(八) 生成编程文件,上板测试

Implementation之后在Flow Navigator界面下点击Generate Bitstream

默认生成的为.bit文件。对于这一块的其他一些设置大家可以参考官网资料 “Vivado Design Suite User Guide: Programming and Debugging (UG908)”。

Bitstream文件生成完毕之后,出现如下弹框。接下来选择Open Hardware Manager,点击OK。准备上班测试。

有些开发板支持SD配置,则直接将生成的.bit文件拷到对应的SD卡里面即可。

如果有Xilinx下载器,将Xilinx下载器,开发板子,电脑连接好,并上电。

如下所示,点击Open Target -> Auto Connect

工具自动搜索已连接的器件。一般第一次会连接失败,出现如下图所示情况。右击红色箭头所指,再点击Close Server。

之后再重复Open Target -> Auto Connect操作,如果连接成功,视图如下。右击红色箭头所指的器件名,选择Program Device

然后弹出如下所示框,其自动为我们选择了刚刚生成的bit文件,点击Program,工具就会将我们的bit文件配置到FPGA里面去。配置完成板子上就会出现相应的效果。

总结

上述就是一个基本的Vivado使用过程,在这个过程中的每一步,都有相应的官网资料推荐,如果大家想要深入了解Vivado具有的强大功能,最好需要去看看这些文档。

tb文件 vivado_Vivado FPGA设计基础操作流程:Vivado的基本使用相关推荐

  1. tb文件 vivado_Vivado IDDR与ODDR原语的使用

    在数据的传输过程中,我们经常可以碰见双沿传输数据到FPGA,或者FPGA传输双沿数据给外部芯片,最常见的例子就是DDR芯片.这里说明一下,FPGA内部处理的数据都是单沿数据,那么双沿数据的变换只能发生 ...

  2. modelsim之inout类型tb文件编写及仿真

    很久没更新了,这边文章是笔者在隔离期间写的,之前接触过inout类型的仿真,但很久未使用有些生疏了,查阅了相关资料编写了这篇文章,一来是当作笔记,忘记时随时查阅,二来是为了供广大FPGA爱好者学习参考 ...

  3. lms算法的verilog实现_LMS verilog实现的LMS的算法,另外有tb文件可以测试 代码正确 VHDL-FPGA- 252万源代码下载- www.pudn.com...

    文件名称: LMS下载  收藏√  [ 5  4  3  2  1 ] 开发工具: VHDL 文件大小: 3496 KB 上传时间: 2013-07-07 下载次数: 56 提 供 者: 强建龙 详细 ...

  4. xilinx fpga 开发工具vivado 软件的安装,使用详细教程

    文章目录 xilinx fpga 开发工具vivado 软件的安装,使用详细教程 xilinx fpga 开发工具vivado 软件的安装,使用详细教程 点此下载vivado软件的安装说明文档 点此下 ...

  5. (13)ISE14.7bit文件生成mcs文件(FPGA不积跬步101)

    1 什么是bit文件? bit文件就是FPGA设计的最终文件,用于配置FPGA的配置比特流. 2 什么是MCS文件? 将bit文件转为MCS文件,然后下载MCS文件到ROM中.在ISE14.7下生成B ...

  6. matlab excel操作,Matlab读取excel文件里数据的操作流程

    亲们入手Matlab软件过程里会读取excel文件里数据吗?下面小编就提供了Matlab读取excel文件里数据的操作流程,有需要的朋友一起来看看吧. 读取sheet1中的所有数据 以03版excel ...

  7. FPGA问答系列--Vivado Schematic中的实线和虚线有什么区别?

    FPGA问答系列–Vivado Schematic中的实线和虚线有什么区别? 前言:本文章为FPGA问答系列,我们会定期整理FPGA交流群(包括其他FPGA博主的群)里面有价值的问题,并汇总成文章,如 ...

  8. 全球IT咨询巨头埃森哲被黑客攻击,索要 3.2 亿元赎金:6 TB文件被盗

    公众号回复:干货,领取价值58元/套IT管理体系文档 公众号回复:ITIL教材,领取最新ITIL4中文教材 正文 全球IT咨询巨头埃森哲遭到了LockBit 勒索软件团伙发动的勒索软件网络攻击. 埃森 ...

  9. (27)XILINX FPGA bit文件转换成MCS文件(FPGA不积跬步101)

    1 引言 当FPGA BIT文件在线调试结束后,就不能下载BIT文件了,BIT文件加载掉电丢失:这时就需要固化FPGA文件,一般是将BIT文件转换为MCS文件,然后将该文件加载到FLASH中,掉电不会 ...

最新文章

  1. 浅谈分布式系统一致性之3PC协议
  2. 绝佳时机,前所未遇,开创全新购物体验
  3. LibSVM学习(六)——easy.py和grid.py的使用(转)
  4. JavaScript学习笔记之数组(二)
  5. codeforces 7.22 E Permutation Shift
  6. 我敢打赌,99%的电子工程师都掉进过这29个坑!
  7. 《UNIXLinux程序设计教程》一2.1 UNIX 输入输出基本概念
  8. php可以更改html后缀名嘛,请问你们怎么将html的文件的内容改变为php
  9. python字符串与数字转换,python 字符串和整数的转换方法
  10. eclipse(window平台)快捷键总结
  11. 辛苦整理2021年SSCI和SCI最新最全目录(包括中国SSCI/SCI入选期刊256本)
  12. 多功能AD杀手AD7124 效果理想 原理图经验分享+实物图
  13. WindowsServer2012搭建FTP服务器站点
  14. 如何正确地卸载Service Worker?
  15. 卜算子.咏梅 陆游
  16. 在国内用Windows给BT做种,真是一山绕过一山缠(附解决方案)
  17. 学习公式——《微习惯》
  18. 第55篇 Chrome扩展USB开发(一)及PHP研究 Saturday
  19. 写给Python社群的第7课:用 Python 模块了不起呀?就是了不起
  20. 24 前 K 个高频元素

热门文章

  1. error: invalid use of incomplete type 'struct word'|
  2. 完成这些事情后再做决定 、
  3. 应用HTMLParser解释操作HTML内容
  4. MATLAB 绕轴旋转图像函数 rotate 详解
  5. 华为机试HJ2:计算某字母出现次数
  6. Oracle11使用现在数据库文件,oracle11g 重装操作系统后,如何利用原有oracle表空间文件还原数据库...
  7. html调后台接口_接口测试平台代码实现62: 多接口用例2
  8. python实现排列组合公式算法_Python实现卡尔曼滤波算法之贝叶斯滤波
  9. linux下搭建博客day3-git安装
  10. Android跟踪进度条,android快递跟踪进度条