关于如何新建项目,请移步:【Modelsim零基础入门】新建项目+运行第一个verilog仿真程序:一位加法器

↓ 第一个自己写的verilog程序以及对应的测试程序

计算A+B

源程序
文件名称:simpleAdd.v
如果复制粘贴后报错,请删除注释以及所有可能的特殊字符

module addTwo(sum,a,b);input a,b;output sum;assign sum=a+b;
endmodule

测试程序
文件名称:test.v

`timescale 1ns/1ns
`include "simpleAdd.v"
module addTwo_tb;reg a,b;wire sum;addTwo myAddTwo(sum,a,b);initial begin a=0;b=0; //不能给sum赋值sum=0;#5 a<=1;#5 b<=1;       //因为begin-end是串行,实际上是第5+5ns开始对b赋值endinitialbegin$monitor($time,,"%d + %d = %d",a,b,sum);#20$finish;end
endmodule

运行结果

从wave图中可以看到,从#5ns开始a=1,从#10ns开始b=1
sum总是随着a,b的变化而变化
下面的控制台也输出了每一次变化的过程记录

【Modelsim零基础入门】verilog仿真程序:1-bit A+B相关推荐

  1. 【Modelsim零基础入门】新建项目+运行第一个verilog仿真程序:一位加法器

    本篇注释比较详细,由<Moselsim 第一个仿真程序 四位加法器>修改而来 原博客:https://blog.csdn.net/sinat_42483341/article/detail ...

  2. 【Verilog零基础入门-边看边练】学习笔记——第三讲 组合逻辑代码设计和仿真(补码转换和七段译码逻辑设计)(二)

    二.七段译码逻辑设计 所需软件 Verilog编程软件:Lattice Diamond(3.11.0.396.4_Diamond_x64) Verilog仿真软件:ModelSim SE-64 10. ...

  3. 爆肝4万字❤️零基础掌握Verilog HDL

    文章目录 0.前言 1.Verilog HDL简介 1.1 什么是Verilog HDL 1.2 verilog发展历史ㅤㅤㅤㅤㅤㅤㅤㅤㅤㅤㅤㅤㅤㅤㅤㅤㅤㅤㅤㅤㅤㅤ 1.3 为什么要使用verilog ...

  4. python 二进制流转图片_Python零基础入门到精通-5.1节:Python程序的执行过程

    教程引言: 系统地讲解计算机基础知识,Python的基础知识, 高级知识,web开发框架,爬虫开发,数据结构与算法,nginx, 系统架构.一步步地帮助你从入门到就业. 5.1.1 在命令行中执行Py ...

  5. 【JAVA零基础入门系列】Day2 Java集成开发环境IDEA

    [JAVA零基础入门系列](已完结)导航目录 Day1 开发环境搭建 Day2 Java集成开发环境IDEA Day3 Java基本数据类型 Day4 变量与常量 Day5 Java中的运算符 Day ...

  6. 零基础入门jQuery视频教程

    零基础入门jQuery最新版开发.NET富客户端应用(选择器.DOM操作.事件和动画.Ajax应用.插件.Mobile) 课程分类:.NET+Jquery 适合人群:初级 课时数量:35课时 用到技术 ...

  7. 【组队学习】【24期】零基础入门语音识别(食物声音识别)

    零基础入门语音识别(食物声音识别) 开源内容: https://github.com/datawhalechina/team-learning-nlp/tree/master/FoodVoiceRec ...

  8. 【组队学习】【23期】Datawhale零基础入门数据挖掘(心跳信号分类)

    零基础入门数据挖掘(心跳信号分类) 开源内容:https://github.com/datawhalechina/team-learning-data-mining/tree/master/Heart ...

  9. 零基础入门CV赛事,理论结合实践

    Datawhale干货 作者:阿水,Datawhale成员 本次分享的背景是,Datawhle联合天池发布的学习赛:零基础入门CV赛事之街景字符识别.本文以该比赛为例,对计算机视觉赛事中,赛事理解和B ...

最新文章

  1. Linux 命令 top 学习总结
  2. java期_java日期 时间
  3. 不要轻易挑战用户的习惯,否则会被用户打脸!
  4. OpenCV3.0中的离散傅里叶变换
  5. 用 Arthas 神器来诊断 HBase 异常进程
  6. JS:2.1,流程控制(if,switch)高级
  7. Oracle PL SQL 精萃pdf
  8. oracle tnsnames.ora文件用法说明
  9. python程序内存分析_python 如何测量运行中的程序内存 -- Valgrind
  10. 【Flink】FLink PipelineExecutorFactory 基于工厂模式的任务提交与SPI机制
  11. cc ai条码插件_科脉神秘黑科技产品横空出世,AI能为生鲜称重带来哪些变革?
  12. XP系统安装python
  13. 19个程序员接私活平台汇总升级版!你有技术就有钱!
  14. html 静止横屏_移动端开发-禁止横屏
  15. windows保护无法启动修复服务器,解决使用sfc命令提示“windows 资源保护无法启动修复服务”的方法...
  16. powershell安装sdkman
  17. A_A03_007 CH32串口软件安装与CH32程序串口下载
  18. SAP中财务账期和物料账期系统内表
  19. 操作系统的名字的读音
  20. 【STM32F429开发板用户手册】第18章 STM32F429的GPIO应用之跑马灯

热门文章

  1. 【玩转cocos2d-x之十五】关卡选择的设计
  2. 替换系统wsock32.dll,实现封包拦截
  3. 交换网络中的嗅探和ARP欺骗
  4. GetModuleHandle,AfxGetInstanceHandle使用区别
  5. chromium net android移植
  6. ZooKeeper 基本概念:特点、数据模型、节点特性、Watcher、ACL
  7. MySQL 备份与主从复制
  8. linux 下 MySQL卸载和安装
  9. Linux 写时复制机制原理
  10. RabbitMQ Network Partitions