2 个 CP1H PLC 各使用 CP1W-CIF11 485 通讯模块(
50 米通讯距离),插在串口 2 卡槽,
1. 硬件接线如下
主站 SDA <----> RDA 从站
SDB <----> RDB
RDA <----> SDA
RDB <----> SDB

2.主站 PLC 设置如下

注 1 :以本次为例子,本次 2 个 PLC 互连, 1 个是主站,只有一个从站,从站从 0 开始算起,
因此这里写 0, ;假设是 3 个 PLC 互连, 1 个是主站, 2 个是从站,则主站的该页面就要写 1 ;
最多支持 8 个从站;
3. 从站 PLC 设置如下
这是第一个从站,因此 PC 链接单元号写 0 ;如果还有另外一个从站,另外一个从站则接下
写 1 ;以此类推;
4. 下载设置后,重新上电即可生效。
5. 链接继电器范围为 3100-3189 共 1440 点可供使用
注:如上图所示,在主站 PLC 内 3100-3109 为主站允许写入的区域(且能被其他 PLC 正常读
取到的区域),其余区域为能够读取的其他从站的点位;在从站 0_PLC 内 3110-3119 为从站
0 允许写入的区域(且能被其他 PLC 正常读取到的区域),其余区域为能够读取的其他从站
的点位;以此类推;
总而言之, 1440 点内,每个 PLC 能够写入且被其他 PLC 正常读取到的点位只有 10 字共 160
点, 9 个 PLC 刚好是 1440 点。

2个欧姆龙PLC之间485串行通讯相关推荐

  1. 三菱 fx2n 通信 linux 代码,三菱FX2N PLC串行通讯指令(FNC 80 RS)

    三菱FX2N PLC串行通讯指令(FNC 80 RS) 串行通讯指令(FNC 80 RS) 1.指令格式:    [RS     D0     K8     D10    K8] 发送数据帧起始地址和 ...

  2. 西门子PLC协议转换CIP协议,实现与罗克韦尔/AB、欧姆龙PLC之间通讯

    IGT-DSER智能网关模块支持西门子.三菱.欧姆龙.AB等各种品牌的PLC之间通讯,同时也支持PLC与Modbus协议的工业机器人.智能仪表等设备通讯.网关有多个网口.串口,也可选择WIFI,4G无 ...

  3. 串行通讯RS485 Modbus RTU协议控制

    一.内容简介 本文主要介绍欧姆龙CP1E做上位与SMC的LECP6电缸 之间串行通讯RS485 Modbus RTU协议程序控制说明. 二.设备简介         硬件:CP1E.CP1W-CIF1 ...

  4. 基于51的串行通讯原理及协议详解(uart)

    串行与并行通讯方式 并行:控制简单,传输速度快.线多,长距离成本较高且同时接受困难. 串行:将数据字节分成一位一位的行驶在一条传输线上进行传输.如图: 同步与异步串行通讯方式 同步串行通讯方式:同步通 ...

  5. 结合实际代码谈常见串行通讯方式—USART、SPI以及IIC

    按数据传送的方式,通讯可分为串行通讯与并行通讯,串行通讯是指设备之间通过少量数据信号线(一般是8 根以下),地线以及控制信号线,按数据位形式一位一位地传输数据的通讯方式,而并行通讯一般是指使用8.16 ...

  6. STM32学习笔记一、 IO模拟串行通讯

    代码下载链接:实验五.USART-IO模拟.zip-嵌入式文档类资源-CSDN下载 文档下载链接:UART数据波形分析_uart波形-硬件开发文档类资源-CSDN下载 首先对UART数据波形进行分析: ...

  7. CAN总线技术 | 物理层02 - CAN总线的异步串行通讯

    文章目录 一.前言 二.如何解决波特率误差带来的通信错误? 三.填充位 一.前言 广州虹科录制的CAN总线技术课程非常不错,建议同学们学习一下.B站的地址:https://www.bilibili.c ...

  8. 异步串行通讯和同步串行通讯对比

    异步串行通讯和同步串行通讯对比 作者:刘洪涛,华清远见嵌入式学院高级讲师,ARM ATC授权培训讲师. 在计算机系统中,CPU和外部通信有两种通信方式:并行通信和串行通信.而按照串行数据的时钟控制方式 ...

  9. 单片机的串行通讯就是排成一队走,并行就是排成一列走

    单片机的串行通讯就是排成一队走,并行就是排成一列走 ///插播一条:我自己在今年年初录制了一套还比较系统的入门单片机教程,想要的同学找我拿就行了免費的,私信我就可以哦~点我头像黑色字体加我地球呺也能领 ...

最新文章

  1. Ubuntu14.04 32位上编译VLC2.2.0源码操作步骤
  2. Mozilla两款火狐插件包含恶意代码被紧急喊停
  3. 交通优化需求下 智能交通已达千亿市场
  4. mac下Android开发环境配置
  5. 虚拟form 下载文件
  6. ios微信小程序下拉刷新怎么配_为什么他的下拉刷新是个动画效果?
  7. android sqlite3_open_v2( data/data//database ,handle,1,null)
  8. How to be a hacker
  9. SpringCloud(二) 生产者、消费者工程搭建与调用(下)
  10. Nginx 静态文件服务器搭建及autoindex模块解析
  11. 性能测试初学_对loadrunner脚本的理解
  12. 传智播客 java表单笔记_传智播客java_web笔记全套
  13. 上位机与下位机进行交互
  14. 达梦数据库的简单使用
  15. 软件著作权登记申请容易通过吗?软著申请成功率高吗?
  16. 大学计算机基础教程第11章计算机网络与应用总结
  17. 利用js书写正三角形
  18. 微信表情符号已写入判决书
  19. 计算机的供电方式,电脑主板电源供电方式
  20. ORACLE 习题(一)

热门文章

  1. 多用户同时远程登录连接到一台服务器
  2. linux服务器mac地址过滤,iptables 限制MAC或IP地址
  3. MYCCL复合特征码定位器简介
  4. 波段合成,去除黑边并制作土地利用转移矩阵(附练习数据下载)
  5. android网络编程终结者,小七论坛终结者V2.0升级版源码 - 源码下载|Internet/网络编程|远程控制编程|源代码 - 源码中国...
  6. 7-2 重复数据问题-hebust (10 分)
  7. Redis面试连环炮,你能撑到第几关?(持续更新中)
  8. python语言程序设计教程答案赵璐_python语言程序设计教程赵璐答案
  9. php护卫神网站日志,网站灾备系统增加联机日志功能_护卫神
  10. Python中的else用法